Package: 2to3 Description-md5: f572313f6474fd18ce500cec46aba367 Description-en: 2to3 binary using python3 2to3 is a Python program that reads Python 2.x source code and applies a series of fixers to transform it into valid Python 3.x code. The standard library contains a rich set of fixers that will handle almost all code. 2to3 supporting library lib2to3 is, however, a flexible and generic library, so it is possible to write your own fixers for 2to3. lib2to3 could also be adapted to custom applications in which Python code needs to be edited automatically. . This package is a dependency package, which depends on Debian's default Python 3 2to3 version (currently v3.12). Package: 7zip Description-md5: 391063b95a84a6bdd7463a9ac8f12500 Description-en: 7-Zip file archiver with a high compression ratio 7-Zip is a file archiver with a high compression ratio. The main features of 7-Zip are: * High compression ratio in 7z format with LZMA and LZMA2 compression * Supported formats: * Packing / unpacking: 7z, XZ, BZIP2, GZIP, TAR, ZIP and WIM * Unpacking only: AR, ARJ, CAB, CHM, CPIO, CramFS, DMG, EXT, FAT, GPT, HFS, IHEX, ISO, LZH, LZMA, MBR, MSI, NSIS, NTFS, QCOW2, RPM, SquashFS, UDF, UEFI, VDI, VHD, VMDK, WIM, XAR and Z. * For ZIP and GZIP formats, 7-Zip provides a compression ratio that is 2-10 % better than the ratio provided by PKZip and WinZip * Strong AES-256 encryption in 7z and ZIP formats * Powerful command line version . "7zip" provides: * /usr/bin/7z: Full featured with plugin functionality. * /usr/bin/7za: Major formats/features only. * /usr/bin/7zr: LZMA (.7z, .lzma, .xz) only. Minimal executable. . Note: The unRAR code was dropped to keep compatible with DFSG. Install 7zip-rar package in non-free section to use RAR files. Package: 7zip-standalone Description-md5: c8212035d9236b1f9c2baaab0e0cd9d2 Description-en: 7-Zip file archiver with a high compression ratio (standalone) 7-Zip is a file archiver with a high compression ratio. . "7zip-standalone" provides: * /usr/bin/7zz: Full featured except plugins, standalone executable. This means 7zz can't work with unRAR plugin that provided by 7zip-rar package. Use 7zip package for unRAR plugin. . Note: If you want to create SFX archive, you also needs 7zip package for SFX stub module. Package: abyss Description-md5: 7c736de2ab206e6ade42c9f95ff44b4a Description-en: de novo, parallel, sequence assembler for short reads ABySS is a de novo, parallel, sequence assembler that is designed for short reads. It may be used to assemble genome or transcriptome sequence data. Parallelization is achieved using MPI, OpenMP and pthread. Package: accountwizard Description-md5: 3054aee4289842adfd3e08c353313de1 Description-en: wizard for KDE PIM applications account setup Handles account setup of e-mail accounts for KDE PIM applications. Package: adb Description-md5: 4fb58b8688addfd94d32fe9a20c9d2e8 Description-en: Android Debug Bridge A versatile command line tool that lets you communicate with an emulator instance or connected Android-powered device. . This package recommends "android-sdk-platform-tools-common" which contains the udev rules for Android devices. Without this package, adb and fastboot need to be running with root permission. Package: adios2-data Description-md5: 8dfd1562d15510899ddf04cb3bd599c3 Description-en: ADIOS2 Adaptable IO system for simulations - tool data The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains tool data for ADIOS2. Package: adios2-mpi-bin Description-md5: 13439910a31b75459b92b4893cee3be1 Description-en: ADIOS2 Adaptable IO system for simulations - binary tools (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains binary tools for the MPI build of ADIOS2. Package: adios2-scripts Description-md5: 47150ef130d4a9e991df093a62ec1dec Description-en: ADIOS2 Adaptable IO system for simulations - scripts The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains scripts for ADIOS2. Package: adios2-serial-bin Description-md5: d0a03efaffbc863c9f7ba4203085ebd4 Description-en: ADIOS2 Adaptable IO system for simulations - binary tools (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains binary tools for ADIOS2. Package: afl++ Description-md5: dad03c98adce45fdfb6d2610388bb2e7 Description-en: instrumentation-driven fuzzer for binary formats American fuzzy lop is a fuzzer that employs compile-time instrumentation and genetic algorithms to automatically discover clean, interesting test cases that trigger new internal states in the targeted binary. This substantially improves the functional coverage for the fuzzed code. The compact synthesized corpora produced by the tool are also useful for seeding other, more labor- or resource-intensive testing regimes down the road. . afl++-fuzz is designed to be practical: it has modest performance overhead, uses a variety of highly effective fuzzing strategies, requires essentially no configuration, and seamlessly handles complex, real-world use cases - say, common image parsing or file compression libraries. . afl++ is a fork of the unmaintained afl. Package: afl++-doc Description-md5: e28faca40fdb5d878c9f725ad154b396 Description-en: instrumentation-driven fuzzer for binary formats - documentation American fuzzy lop is a fuzzer that employs compile-time instrumentation and genetic algorithms to automatically discover clean, interesting test cases that trigger new internal states in the targeted binary. . This package provides the documentation, a collection of special crafted test cases, vulnerability samples and experimental stuff. Package: akonadi-backend-mysql Description-md5: 44bbff2187bd34b353f73253edf50334 Description-en: MySQL storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with MySQL as underlying data storage engine. By default, a local MySQL server instance will be started for each user. Alternatively, connection to an external MySQL database is supported as well. Package: akonadi-backend-postgresql Description-md5: bd88f5341863115ed0f5865ef888ddfd Description-en: PostgreSQL storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with PostgreSQL as underlying data storage engine. By default, a local PostgreSQL server instance will be started for each user. Alternatively, connection to an external PostgreSQL database is supported as well. Package: akonadi-backend-sqlite Description-md5: 196c983135bfe5727ed497202663edef Description-en: SQLite storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with SQLite as underlying data storage engine. Since SQLite is an embedded database engine, a separate SQL server daemon is not necessary. Package: akonadi-contacts-data Description-md5: 45c6278fd7fbcef18b0b85cf44aa89c8 Description-en: Akonadi contacts access library - data files This library provides contacts manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. . This package contains the data files. Package: akonadi-import-wizard Description-md5: 40903d9bfa08053e3f7af4bacb5d1b3d Description-en: PIM data import wizard akonadi-import-wizard is an assistant to import PIM data from other applications into Akonadi for use in KDE PIM applications Package: akonadi-mime-data Description-md5: d279dda7e329ea1fc4da4ebbedab1d86 Description-en: Akonadi MIME handling library - data files This library provides MIME handling helpers using the Akonadi PIM data server. . This package contains data files for akonadi-mime library Package: akonadi-server Description-md5: 80144a9a021e5f971cbb61777684d38e Description-en: Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains the Akonadi PIM storage server and associated programs. Package: akonadiconsole Description-md5: eab8b689674d4e9e50a06d477c067f16 Description-en: management and debugging console for akonadi Akonadi Console is a useful utility that can be used to explore or manage Akonadi. Since this utility exposes Akonadi internals, it is very useful for debugging but may also prove handy in other cases. Package: akregator Description-md5: fffc0061a3e67d03090b7bcfa5b44773 Description-en: RSS/Atom feed aggregator Akregator is a news feed reader. It enables you to follow news sites, blogs and other RSS/Atom-enabled websites without the need to manually check for updates using a web browser. Akregator is designed to be both easy to use and to be powerful enough to read hundreds of news sources conveniently. It comes with Konqueror integration for adding news feeds and with an internal browser for easy news reading. Package: alire Description-md5: 7e71cbdb1432d63dbdcc547934cf40ef Description-en: Ada package manager A catalog of ready-to-use Ada libraries plus a command-line tool (`alr`) to obtain, build, and incorporate them into your own projects. It aims to fulfill a similar role to Rust's `cargo` or OCaml's `opam`. . This is a source package manager, in contrast to apt which is a binary package manager. Package: ampliconnoise Description-md5: cd8d15fcdec00d4fc4f08c519dbff884 Description-en: removal of noise from 454 sequenced PCR amplicons AmpliconNoise is a package of applications to clean up high-throughput sequence data. It consists of three main parts: . Pyronoise - does flowgram-based clustering to spot misreads SeqNoise - removes PCR point mutations Perseus - removes PCR chimeras without the need for a set of reference sequences . Previously there was a standalone "Pyronoise" by the same authors and this package includes an updated version. There is also a "Denoiser" in Qiime which is related but distinct. Package: android-libbacktrace Description-md5: 3ba2c6115afcca7c07cbbbde8ca6ef09 Description-en: Android backtrace library Android backtrace library produce symbolic backtraces for making debugging easier. Package: android-libbacktrace-dev Description-md5: 1f078e9628df401b2f7fbe46f9340d78 Description-en: Android backtrace library - Development files Android backtrace library produce symbolic backtraces for making debugging easier. . This package contains the development files. Package: android-libbase Description-md5: 0c270a1f75d0d57cef9cc7cb5d418366 Description-en: Android base library This library provides APIs for basic tasks like handling files, Unicode strings, logging, memory allocation, integer parsing, etc.. . This library is only used by Android SDK currently. Package: android-libbase-dev Description-md5: 5400b9d81a8ba7cc90d4f188ed3a76b8 Description-en: Android base library - Development files This library provides APIs for basic tasks like handling files, Unicode strings, logging, memory allocation, integer parsing, etc.. . This library is only used by Android SDK currently. . This package contains the development files. Package: android-libcutils Description-md5: fd1d222be998cc5b11ef894b0dccf4b2 Description-en: Android utils library for C This library provides common functionalities for android related tools. . This library is only used by Android SDK currently. Package: android-libcutils-dev Description-md5: 8dbc8f2a1febc7395868e7a5f0621a49 Description-en: Android utils library for C - Development files This library provides common functionalities for android related tools. . This library is only used by Android SDK currently. . This package contains the development files. Package: android-liblog Description-md5: 9f7f6cb1971d10d4d4cdf57b3a57437b Description-en: Android NDK logger interfaces liblog represents an interface to the volatile Android Logging system for NDK (Native) applications and libraries. Interfaces for either writing or reading logs. The log buffers are divided up in Main, System, Radio and Events sub-logs. Package: android-liblog-dev Description-md5: 5ad1bb25d167e070f3b3738d5d6501f5 Description-en: Android NDK logger interfaces - Development files liblog represents an interface to the volatile Android Logging system for NDK (Native) applications and libraries. Interfaces for either writing or reading logs. The log buffers are divided up in Main, System, Radio and Events sub-logs. . This package contains the development files. Package: android-libnativehelper Description-md5: ff590d246977a332deee42638b9d1ae0 Description-en: Support functions for Android's class libraries libnativehelper is a collection of JNI related utilities used in Android. . There are several header and binary libraries here and not all of the functionality fits together well. The header libraries are mostly C++ based. The binary libraries are entirely written in C with no C++ dependencies. This is by design as the code here can be distributed in multiple ways, including mainline modules, so keeping the size down benefits everyone with smaller downloads and a stable ABI. Package: android-libnativehelper-dev Description-md5: d55b54cc58037dbd12b6783140da5a68 Description-en: Support functions for Android's class libraries - Development files libnativehelper is a collection of JNI related utilities used in Android. . There are several header and binary libraries here and not all of the functionality fits together well. The header libraries are mostly C++ based. The binary libraries are entirely written in C with no C++ dependencies. This is by design as the code here can be distributed in multiple ways, including mainline modules, so keeping the size down benefits everyone with smaller downloads and a stable ABI. . This package contains the development files. Package: android-libsparse Description-md5: fcfc4311d4b14b27020e77f2250237d8 Description-en: Library for sparse files This library provides APIs for creating, manipulating and destroying sparse files. . This library is only used by Android SDK currently. Package: android-libsparse-dev Description-md5: b99b0d0490ab2905c5577e6068f1839d Description-en: Library for sparse files - Development files This library provides APIs for creating, manipulating and destroying sparse files. . This library is only used by Android SDK currently. . This package contains the development files. Package: android-libutils Description-md5: 5733fbd900654b274afd776fc170cf63 Description-en: Android Utility Function Library This library provides miscellaneous utility functions. . This library is only used by Android SDK currently. Package: android-libutils-dev Description-md5: f37768d78bf5e25e5cf20a8d5e5dbd1f Description-en: Android Utility Function Library - Development files This library provides miscellaneous utility functions. . This library is only used by Android SDK currently. . This package contains the development files. Package: android-libziparchive Description-md5: f2eb7b1deaf0e36dfe923e3b4709e319 Description-en: Library for ZIP archives This library provides APIs for creating and manipulating ZIP archives. . This library is only used by Android SDK currently. Package: android-libziparchive-dev Description-md5: 217dfecadef26031dff8fa80a1788d9e Description-en: Library for ZIP archives - Development files This library provides APIs for creating and manipulating ZIP archives. . This library is only used by Android SDK currently. . This package contains the development files. Package: android-platform-frameworks-native-headers Description-md5: 432d3ef0a04b352ab3c290d31ffd367b Description-en: Headers of android-platform-frameworks-native This package contains headers in include/android in platform/frameworks/native Consists of all the header files ranging from asset_manager.h to window.h. Package: android-platform-system-core-headers Description-md5: d17abc6b3966d0256defe412df8e7b21 Description-en: Shared headers in AOSP repository platform/system/core This package contains header files in AOSP repository platform/system/core that do not belong to any specific libraries but used by other programs. . This package currently contains headers in include/private and include/system. Package: android-sdk-libsparse-utils Description-md5: e30e2e41695b4ac048f36bf6a745e4fa Description-en: Android sparse image creation tool Command line tools to create sparse images for usage with Android devices. Includes simg2img, img2simg, simg2simg, simg_dump and append2simg tools. Package: apbs Description-md5: 2babbf799be2526f2121cce538f5aa47 Description-en: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. Continuum electrostatics plays an important role in several areas of biomolecular simulation, including: . * simulation of diffusional processes to determine ligand-protein and protein-protein binding kinetics, * implicit solvent molecular dynamics of biomolecules , * solvation and binding energy calculations to determine ligand-protein and protein-protein equilibrium binding constants and aid in rational drug design, * and biomolecular titration studies. . APBS was designed to efficiently evaluate electrostatic properties for such simulations for a wide range of length scales to enable the investigation of molecules with tens to millions of atoms. . This package contains the apbs program and utilities. Package: apbs-data Description-md5: 2727b42d5b879cec963c864079c4838a Description-en: data files for APBS (Adaptive Poisson Boltzmann Solver) APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. . This package contains all data files for apbs package to reduce the redundancy between architectures in Debian. Package: apbs-doc Description-md5: 963a28a17c99038982957e8783eb1201 Description-en: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. Continuum electrostatics plays an important role in several areas of biomolecular simulation, including: . * simulation of diffusional processes to determine ligand-protein and protein-protein binding kinetics, * implicit solvent molecular dynamics of biomolecules , * solvation and binding energy calculations to determine ligand-protein and protein-protein equilibrium binding constants and aid in rational drug design, * and biomolecular titration studies. . APBS was designed to efficiently evaluate electrostatic properties for such simulations for a wide range of length scales to enable the investigation of molecules with tens to millions of atoms. . This package contains the apbs documentation. Package: apt-transport-https Description-md5: 42fb3fe23b1b826709a68809c25a3897 Description-en: transitional package for https support This is a dummy transitional package - https support has been moved into the apt package in 1.5. It can be safely removed. Package: astropy-utils Description-md5: 66f91a2a4f6c2297733b7ed899429da7 Description-en: Command line tools from astropy The astropy package contains core functionality and some common tools needed for performing astronomy and astrophysics research with Python. . This package contains the tools that come with astropy: . * fitscheck: Detect and fix FITS standards violations * fits2bitmap: Create a bitmap file from a FITS image. * fitsdiff: Compare two FITS image files and report the differences in header keywords and data. * fitsheader: Print the header(s) of one or more FITS file(s) to the standard output in a human-readable format. * samp_hub: SAMP Hub Server. * volint: Check a VOTable file for compliance to the VOTable specification * wcslint: Check the WCS keywords in a FITS file for compliance against the standards Package: bash-builtins Description-md5: af509aa37177542db29bd85c79695512 Description-en: Bash loadable builtins - headers & examples Bash can dynamically load new builtin commands. Included are the necessary headers to compile your own builtins and lots of examples. Package: bash-static Description-md5: ae0b688f32951bcf52b5c025ab588a4c Description-en: GNU Bourne Again SHell (static version) Bash is an sh-compatible command language interpreter that executes commands read from the standard input or from a file. Bash also incorporates useful features from the Korn and C shells (ksh and csh). . Statically linked. Package: basix-doc Description-md5: b6940f11df33533cc3f7183e3f0fa8f7 Description-en: Finite Element Basis Function Definition Runtime Library (docs) Computes FE basis functions and derivatives for the following elements: - Lagrange (interval, triangle, tetrahedron, prism, pyramid, quadrilateral, hexahedron) - Nédélec (triangle, tetrahedron) - Nédélec Second Kind (triangle, tetrahedron) - Raviart-Thomas (triangle, tetrahedron) - Regge (triangle, tetrahedron) - Crouzeix-Raviart (triangle, tetrahedron) . Computes quadrature rules on different cell types . Provides reference topology and geometry for reference cells of each type. . This is the common documentation package. Package: bat Description-md5: fe57157c2e97d51f6072ac586ca26707 Description-en: cat(1) clone with syntax highlighting and git integration bat is a drop-in cat(1) replacement featuring: . * syntax highlighting for a large number of languages; * git integration; * automatic paging; * a user-friendly command-line interface. . In this package the executable and its manpage have been renamed from ‘bat’ to ‘batcat’ because of a file name clash with another Debian package. Package: bittwist Description-md5: b06d0054503e4d44218cd729fa2cb44a Description-en: libpcap based Ethernet packet generator bittwist (or Bit-Twist) is designed to complement tcpdump, which by itself has done a great job in capturing network traffic. Bit-Twist can regenerate the captured traffic onto a live network (the packets are generated from tcpdump trace file, generating a .pcap file). . Bit-Twist also comes with a comprehensive trace file editor to allow one to change the contents of a trace file (bittwiste). . Generally, a packet generator is useful in simulating networking traffic or scenario, testing firewall, IDS, and IPS, and troubleshooting various network problems. . The Bit-Twist features are: . * runs on Linux, BSD, macOS, and Windows; * send multiple trace files at a time; * send packets at a specific speed or up to your NIC line rate in Mbps using built-in token bucket algorithm; * comprehensive trace file editor with control over most fields in Ethernet, ARP, IP, ICMP, TCP, and UDP headers with automatic header checksum correction; * comprehensive trace file editor to edit most fields in Ethernet, ARP, IPv4, IPv6, ICMPv4, ICMPv6, TCP, and UDP headers; * automatic header checksum correction; * send packets with custom QoS bits to test classification/queuing features of switches/routers; * append user payload to existing packets after a specific header; * append user payload (e.g. copy of hex stream from Wireshark) to existing packets after a specific header; * select a specific range of packets and save them in another trace file; * highly scriptable - with proper manipulation you can turn Bit-Twist into an extremely flexible packet generator tool; * if you are teaching Computer Networks classes, you may find Bit-Twist useful as a practical teaching material. It gives your students a hands-on experience to learn various networking protocols etc. Package: bolt-19 Description-md5: a5816535f62942b4fcded9b86fb66840 Description-en: Post-link optimizer It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. Package: bolt-20 Description-md5: a5816535f62942b4fcded9b86fb66840 Description-en: Post-link optimizer It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. Package: bornagain Description-md5: 3ca3a14f553d40163abda32c821d064e Description-en: Simulate and fit X-ray and neutron GISAS -- binary BornAgain is a software package to simulate and fit small-angle scattering at grazing incidence. It supports analysis of both X-ray (GISAXS) and neutron (GISANS) data. Calculations are carried out in the framework of the distorted wave Born approximation (DWBA). BornAgain provides a graphical user interface for interactive use as well as a generic Python and C++ framework for modeling multilayer samples with smooth or rough interfaces and with various types of embedded nanoparticles. . BornAgain supports: . Layers: * Multilayers without any restrictions on the number of layers * Interface roughness correlation * Magnetic materials . Particles: * Choice between different shapes of particles (form factors) * Particles with inner structures * Assemblies of particles * Size distribution of the particles (polydispersity) . Positions of Particles: * Decoupled implementations between vertical and planar positions * Vertical distributions: particles at specific depth in layers or on top. * Planar distributions: - fully disordered systems - short-range order distribution (paracrystals) - two- and one-dimensional lattices . Input Beam: * Polarized or unpolarized neutrons * X-ray * Divergence of the input beam (wavelength, incident angles) following different distributions * Possible normalization of the input intensity . Detector: * Off specular scattering * Two-dimensional intensity matrix, function of the output angles . Use of BornAgain: * Simulation of GISAXS and GISANS from the generated sample * Fitting to reference data (experimental or numerical) * Interactions via Python scripts or Graphical User Interface . If you use BornAgain in your work, please cite C. Durniak, M. Ganeva, G. Pospelov, W. Van Herck, J. Wuttke (2015), BornAgain — Software for simulating and fitting X-ray and neutron small-angle scattering at grazing incidence, version , http://www.bornagainproject.org Package: bornagain-doc Description-md5: 815a96937dc1374d77fae4d17285aaa5 Description-en: Simulate and fit X-ray and neutron GISAS -- doc BornAgain is a software package to simulate and fit small-angle scattering at grazing incidence. It supports analysis of both X-ray (GISAXS) and neutron (GISANS) data. Calculations are carried out in the framework of the distorted wave Born approximation (DWBA). BornAgain provides a graphical user interface for interactive use as well as a generic Python and C++ framework for modeling multilayer samples with smooth or rough interfaces and with various types of embedded nanoparticles. . BornAgain supports: . Layers: * Multilayers without any restrictions on the number of layers * Interface roughness correlation * Magnetic materials . Particles: * Choice between different shapes of particles (form factors) * Particles with inner structures * Assemblies of particles * Size distribution of the particles (polydispersity) . Positions of Particles: * Decoupled implementations between vertical and planar positions * Vertical distributions: particles at specific depth in layers or on top. * Planar distributions: - fully disordered systems - short-range order distribution (paracrystals) - two- and one-dimensional lattices . Input Beam: * Polarized or unpolarized neutrons * X-ray * Divergence of the input beam (wavelength, incident angles) following different distributions * Possible normalization of the input intensity . Detector: * Off specular scattering * Two-dimensional intensity matrix, function of the output angles . Use of BornAgain: * Simulation of GISAXS and GISANS from the generated sample * Fitting to reference data (experimental or numerical) * Interactions via Python scripts or Graphical User Interface . If you use BornAgain in your work, please cite C. Durniak, M. Ganeva, G. Pospelov, W. Van Herck, J. Wuttke (2015), BornAgain — Software for simulating and fitting X-ray and neutron small-angle scattering at grazing incidence, version , http://www.bornagainproject.org . This package contains the BornAgain documentation. Package: brltty-espeak Description-md5: 12128acd3d15ad48324d1b92f13bb467 Description-en: Access software for a blind person - espeak driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the espeak speech synthesis engine. Package: brltty-flite Description-md5: 2e07054b3b97962c487b79ded37eb57b Description-en: Access software for a blind person - Flite speech driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the Festival Lite speech synthesis engine. Package: brltty-speechd Description-md5: b90b3fdef13512fbc1fa9b477812709a Description-en: Access software for a blind person - Speech Dispatcher driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the speech-dispatcher speech synthesis server. Package: brltty-x11 Description-md5: 3f30d80e7a87ea3ecbcc6f909e8a1667 Description-en: Access software for a blind person using a braille display - X11 drivers BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains optional screen drivers for BRLTTY which require X11 or GNOME. Package: camitk-actionstatemachine Description-md5: 1a89de2353abd7bc4a9d494836fabbc2 Description-en: pipeline replay application for the CamiTK library CamiTK helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . camitk-actionstatemachine is able to replay a pipeline (workflow) of CamiTK actions. This application takes an CamiTK augmented version of a SCXML subset as input and allow on to replay interactive the described state-machine containing a list of CamiTK actions and transitions. Package: camitk-config Description-md5: 2c1afc6dce4ed70dd9ce0bcc4ed035f5 Description-en: Computer Assisted Medical Intervention Tool Kit - config Helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . This package contains a command-line application to diagnose and check the CamiTK configuration and environment setup. Package: camitk-imp Description-md5: dd3f9295894451a1295fe3976b740a2f Description-en: workbench application for the CamiTK library CamiTK helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . camitk-imp is the CamiTK workbench application where you can test all available extensions for prototyping. It provides an easy and interactive access to all available data and algorithm parameters, including the one you build yourself using the CamiTK SDK. . For example, camitk-imp can visualize medical images from a lot of different (standard) formats, offers image processing and segmentation algorithms to reconstruct a mesh geometry and run a biomechanical simulation. Package: cargo-outdated Description-md5: 6c637ad3aaced24e60df750ede3629e9 Description-en: Cargo subcommand for displaying when dependencies are out of date This package contains the following binaries built from the Rust crate "cargo-outdated": - cargo-outdated Package: ccls Description-md5: a0041ae63c0c8de35e4287f98d9d0b4e Description-en: C/C++/ObjC language server This originates from cquery, and is a server implementing Language Server Protocol for C/C++/Objective-C. It supports: . * code completion (with both signature help and snippets) * definition/references, and other cross references * cross reference extensions: $ccls/call $ccls/inheritance $ccls/member $ccls/vars ... * formatting * hierarchies: call (caller/callee) hierarchy, inheritance (base/derived) hierarchy, member hierarchy * symbol rename * document symbols and approximate search of workspace symbol * hover information * diagnostics and code actions (clang FixIts) * semantic highlighting and preprocessor skipped regions * semantic navigation: $ccls/navigate Package: cecilia Description-md5: 0ad50c0128dc7c07aa943e8ebf3e38b9 Description-en: Sound synthesis and audio signal processing environment Cecilia is an audio signal processing environment aimed at sound designers. It lets you create your own GUI using a simple syntax and comes with many original built-in modules and presets for sound effects and synthesis. . Cecilia uses the pyo audio engine created for the Python programming language. Package: cl-bordeaux-threads Description-md5: 5378588069e09e6c16e32d40e4635e4e Description-en: Portable threads library for Common Lisp BORDEAUX-THREADS is a proposed standard for a minimal MP/Threading interface. . It essentially provides a compatibility layer for multi-threading across multiple CL implementations. . Some parts of its implementation-specific code can also be implemented in a Lisp that does not support multiple threads, so that thread-safe code can be compiled on both multithread and single-thread implementations without need of conditionals. Package: cl-brlapi Description-md5: 3d138eb9b5759e26473f9b6ed2a9b4ad Description-en: Common Lisp bindings for BrlAPI BRLTTY is a daemon which provides access to the console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . BrlAPI is a library which gives an application access to a braille display and lets it write braille. . This package provides Common Lisp bindings vor BrlAPI. Package: cl-ironclad Description-md5: fc956644c68c68c0849a60705f210d5a Description-en: cryptographic toolkit written in Common Lisp Ironclad is a cryptography library written entirely in Common Lisp. It includes support for several popular ciphers, digests, and MACs and public key cryptography algorithms. For several implementations that support Gray Streams, support is included for convenient stream wrappers. . Most of the algorithms were written with efficiency for specific Common Lisp implementations in mind, although portable code is provided as an alternative in nearly all instances. The framework should be flexible enough to accommodate implementation-specific optimizations when possible. . Test vectors for many of the algorithms are included to provide a level of confidence in the correctness of the implementations. Package: clang Description-md5: e5fe16b3a59bdef5e6636c681fbc6bc8 Description-en: C, C++ and Objective-C compiler (LLVM based), clang binary Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the default clang compiler. Package: clang-19 Description-md5: c364160e44345420df0f2e97b3082b69 Description-en: C, C++ and Objective-C compiler Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. Package: clang-19-doc Description-md5: 6bf5242bfe6f159fd907beb982f2315f Description-en: C, C++ and Objective-C compiler - Documentation Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the documentation. Package: clang-19-examples Description-md5: c2fd51cc2d722b8e5b1c1549d931bc06 Description-en: Clang examples Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang examples. Package: clang-20 Description-md5: c364160e44345420df0f2e97b3082b69 Description-en: C, C++ and Objective-C compiler Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. Package: clang-20-doc Description-md5: 6bf5242bfe6f159fd907beb982f2315f Description-en: C, C++ and Objective-C compiler - Documentation Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the documentation. Package: clang-20-examples Description-md5: c2fd51cc2d722b8e5b1c1549d931bc06 Description-en: Clang examples Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang examples. Package: clang-format Description-md5: b50362fb3abbe4fca65017f3e1c209b3 Description-en: Tool to format C/C++/Obj-C code Clang-format is both a library and a stand-alone tool with the goal of automatically reformatting C++ sources files according to configurable style guides. To do so, clang-format uses Clang's Lexer to transform an input file into a token stream and then changes all the whitespace around those tokens. The goal is for clang-format to both serve both as a user tool (ideally with powerful IDE integrations) and part of other refactoring tools, e.g. to do a reformatting of all the lines changed during a renaming. . This is a dependency package providing the clang format tool. Package: clang-format-19 Description-md5: 5fdb7838fa336de9de2703cb644c12e4 Description-en: Tool to format C/C++/Obj-C code Clang-format is both a library and a stand-alone tool with the goal of automatically reformatting C++ sources files according to configurable style guides. To do so, clang-format uses Clang's Lexer to transform an input file into a token stream and then changes all the whitespace around those tokens. The goal is for clang-format to both serve both as a user tool (ideally with powerful IDE integrations) and part of other refactoring tools, e.g. to do a reformatting of all the lines changed during a renaming. . This package also provides vim and emacs plugins. Package: clang-format-20 Description-md5: 5fdb7838fa336de9de2703cb644c12e4 Description-en: Tool to format C/C++/Obj-C code Clang-format is both a library and a stand-alone tool with the goal of automatically reformatting C++ sources files according to configurable style guides. To do so, clang-format uses Clang's Lexer to transform an input file into a token stream and then changes all the whitespace around those tokens. The goal is for clang-format to both serve both as a user tool (ideally with powerful IDE integrations) and part of other refactoring tools, e.g. to do a reformatting of all the lines changed during a renaming. . This package also provides vim and emacs plugins. Package: clang-tidy Description-md5: 997e82653d3d90e8f16054aa656aa1c8 Description-en: clang-based C++ linter tool Provide an extensible framework for diagnosing and fixing typical programming errors, like style violations, interface misuse, or bugs that can be deduced via static analysis. clang-tidy is modular and provides a convenient interface for writing new checks. . This is a dependency package providing the clang tidy tool. Package: clang-tidy-19 Description-md5: 239d974b460ce0b6a914aa94c05888ad Description-en: clang-based C++ linter tool Provide an extensible framework for diagnosing and fixing typical programming errors, like style violations, interface misuse, or bugs that can be deduced via static analysis. clang-tidy is modular and provides a convenient interface for writing new checks. Package: clang-tidy-20 Description-md5: 239d974b460ce0b6a914aa94c05888ad Description-en: clang-based C++ linter tool Provide an extensible framework for diagnosing and fixing typical programming errors, like style violations, interface misuse, or bugs that can be deduced via static analysis. clang-tidy is modular and provides a convenient interface for writing new checks. Package: clang-tools Description-md5: 4ddd2ed56dfd485dbe82eff1ef361738 Description-en: clang-based tools Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the clang tools package. Package: clang-tools-19 Description-md5: da24a5a87f39211c1ff10f03f06e0a3c Description-en: clang-based tools for C/C++ developments Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains some clang-based tools like scan-build, clang-cl, etc. Package: clang-tools-20 Description-md5: da24a5a87f39211c1ff10f03f06e0a3c Description-en: clang-based tools for C/C++ developments Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains some clang-based tools like scan-build, clang-cl, etc. Package: clangd Description-md5: 3b7cb01eb87cc13d9033aca5bf9d0f1d Description-en: Language server that provides IDE-like features to editors clangd understands your C++ code and adds smart features to your editor: - code completion - compile errors - go-to-definition - and more. . clangd is a language server that implements the Language Server Protocol; it can work with many editors through a plugin. . This is a dependency package providing clangd. Package: clangd-19 Description-md5: 9ae9ea58c7af3228199dd96c36ecd0bb Description-en: Language server that provides IDE-like features to editors clangd understands your C++ code and adds smart features to your editor: - code completion - compile errors - go-to-definition - and more. . clangd is a language server that implements the Language Server Protocol; it can work with many editors through a plugin. Package: clangd-20 Description-md5: 9ae9ea58c7af3228199dd96c36ecd0bb Description-en: Language server that provides IDE-like features to editors clangd understands your C++ code and adds smart features to your editor: - code completion - compile errors - go-to-definition - and more. . clangd is a language server that implements the Language Server Protocol; it can work with many editors through a plugin. Package: clisp Description-md5: bd606112c6e68065d5c3e4bf2ea84e07 Description-en: GNU CLISP, a Common Lisp implementation GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . It is Free Software and may be distributed under the terms of GNU GPL, while it is possible to distribute commercial proprietary applications compiled with GNU CLISP. . The user interface comes in English, German, French, Spanish, Dutch, Russian and Danish, and can be changed during run time. . GNU CLISP includes an interpreter, a compiler, a debugger, CLOS, MOP, a foreign language interface, a socket interface, i18n, fast bignums, arbitrary precision floats and more. An X11 interface is available through CLX, Garnet, CLUE/CLIO. GNU CLISP runs Maxima, ACL2 and many other Common Lisp packages. . This package contains the main CLISP executable, the link-kit, and the following modules: i18n, regexp, syscalls, readline, ASDF, rawsock, bindings/glibc. Package: clisp-doc Description-md5: 48178209c0c67734f0f29f4aab3ae93d Description-en: GNU CLISP, a Common Lisp implementation (documentation) GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This package contains the documentation for CLISP. Package: clisp-module-berkeley-db Description-md5: 54ee2627028cc0fbca855b7a56a4e579 Description-en: GNU CLISP module that adds an interface to Berkeley DB GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This package adds a module to CLISP that implements an interface to the Berkeley DB. Package: clisp-module-clx Description-md5: 8dce40ecd796dcc40eb98cc49d585c58 Description-en: GNU CLISP module that adds X11 bindings GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to the X11 called CLX. Package: clisp-module-dbus Description-md5: e5d9e87c24db82c4b7487ccf1a070bac Description-en: GNU CLISP module that adds an interface to D-Bus GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to the D-Bus system. Package: clisp-module-fastcgi Description-md5: 4bd40bdcf9fcac8511d3bf1d2f20942c Description-en: GNU CLISP module that adds an interface to FastCGI GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to FastCGI. Package: clisp-module-gdbm Description-md5: bcb10de03d2c3c7d7482879565840396 Description-en: GNU CLISP module that adds an interface to GNU DBM GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to GNU DBM. Package: clisp-module-libsvm Description-md5: 5afeaaa141d1c9ee1a2ebcdc9aa95449 Description-en: GNU CLISP module that adds an interface to LIBSVM GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to the LIBSVM library for support vector classification. Package: clisp-module-pari Description-md5: dd5b8f105a98b9281f5425513ff52f9b Description-en: GNU CLISP module that adds an interface to PARI GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to the PARI computer algebra system. Package: clisp-module-postgresql Description-md5: b227c3be5f5023744682e423cfec930e Description-en: GNU CLISP module that adds a PostgreSQL interface GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements an interface to the PostgreSQL DB using the C bindings. Package: clisp-module-zlib Description-md5: 745092b4f14a16a1d5b4c3ec0e8c96ff Description-en: GNU CLISP module that adds zlib support for vectors GNU CLISP is a Common Lisp implementation. It conforms to the ANSI Common Lisp standard, and offers many extensions. It runs on all desktop operating systems (GNU and Unix systems, macOS, Windows) and is particularly memory-efficient. . This adds a module to CLISP that implements a zlib interface, allowing you to compress vectors using zlib. Package: cmake-curses-gui Description-md5: ad28c67dee764cb32d73040783a280db Description-en: curses based user interface for CMake (ccmake) CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. CMake is quite sophisticated: it is possible to support complex environments requiring system configuration, pre-processor generation, code generation, and template instantiation. . This package provides the CMake curses interface. Project configuration settings may be specified interactively through this GUI. Brief instructions are provided at the bottom of the terminal when the program is running. The main executable file for this GUI is "ccmake". Package: cmake-qt-gui Description-md5: 1385c1223e89dd992bad5dcde2206383 Description-en: Qt based user interface for CMake (cmake-gui) CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. CMake is quite sophisticated: it is possible to support complex environments requiring system configuration, pre-processor generation, code generation, and template instantiation. . This package provides the CMake Qt based GUI. Project configuration settings may be specified interactively. Brief instructions are provided at the bottom of the window when the program is running. The main executable file for this GUI is "cmake-gui". Package: cntr Description-md5: 377b3207d09ca624730d6675bbdad8b6 Description-en: a container debugging tool based on FUSE mount cntr mounts the file system from one container or the host into the target container, by creating a nested container with the help of a FUSE filesystem. This allows to ship minimal runtime image in production and limit the surface for exploits. . Natively supported: docker, podman, LXC, LXD, rkt, systemd-nspawn, containerd; For other container engines, cntr also takes PIDs. Package: coinor-libipopt-dev Description-md5: 004a2b4e162fc64f12e7d4eb4d34e764 Description-en: Interior-Point Optimizer - header files Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the header files for developers. Package: coinor-libipopt-doc Description-md5: ace0722ec70c25cead2c4fcc86a4a74d Description-en: Interior-Point Optimizer - documentation Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the documentation. Package: coinor-libipopt3 Description-md5: b22cc6665e1345d36a3c5f15fa8b6fb8 Description-en: Interior-Point Optimizer, for large-scale nonlinear optimization Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the library. Package: containers-storage Description-md5: 8636c564b0525a473282c66694950f4e Description-en: CLI tools for handling how containers are stored on disk storage is a Go library which aims to provide methods for storing filesystem layers, container images, and containers. A containers-storage CLI wrapper is also included for manual and scripting use. . This package contains the CLI tools. Package: converseen Description-md5: a7253e24353100a03ff56f7f998487a3 Description-en: batch image converter and resizer You can convert an unlimited number of images and / or create thumbnails to any of the most popular formats: DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript, SVG, and TIFF. . Thanks to the Magick++ image libraries it supports more than 100 image formats. Package: coop-computing-tools Description-md5: 2d3ed84eaaa64f9c805738d1175cd68b Description-en: cooperative computing tools This is a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. This includes: . * Chirp: A personal filesystem and I/O protocol that allows unprivileged users to share space securely, efficiently, and conveniently. When combined with Parrot, Chirp allows users to create custom wide-area distributed filesystems. * Parrot: A transparent user-level virtual filesystem that allows any ordinary program to be attached to a remote storage device such as an FTP server or a Chirp server. * Makeflow: A workflow system for parallel and distributed computing that uses a language very similar to Make. * Work Queue: A system and API for building master-worker style programs that scale up to thousands of processors. * All Pairs: A computational abstraction for running very large Cartesian products. * Wavefront: A computational abstraction for running very large dynamic programming problems. * The Fault Tolerant Shell: A high-level programming language that allows users to combine the ease of shell scripting, the power of distributed programming, and the precision of compiled languages. Basically, parallel programming and exception handling for scripts. Package: coop-computing-tools-dev Description-md5: 85393004387f17ed004a101c1f5ad86a Description-en: libraries and header files for coop-computing-tools These tools are a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. . This package provides static libraries and header files for development. Package: coop-computing-tools-doc Description-md5: 6d04dc0d21d45ff80d0205f2b9b366f6 Description-en: documentation for coop-computing-tools These tools are a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. . This package provides the documentation (manual and API reference) in HTML format. Package: coq Description-md5: 2c4259e8b83c839ff539d48b854ee31a Description-en: proof assistant for higher-order logic (toplevel and compiler) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides coqtop, a command line interface to Coq. . A graphical interface for Coq is provided in the coqide package. Coq can also be used with ProofGeneral, which allows proofs to be edited using emacs and xemacs. This requires the proofgeneral package to be installed. Package: coq-dpdgraph-tools Description-md5: a4c4863a12a026bf8f65552276ec79d6 Description-en: Coq plugin to extract dependencies between Coq objects (tools) This package provides a plugin for Coq to extract dependencies between Coq objects and produce files with dependency information. . Coq is a proof assistant for higher-order logic. . This package provides the tools associated to this plugin. Package: coq-serapi Description-md5: b25a4df74299b4d6c658a474898f10ff Description-en: interaction with Coq (utils) SerAPI provides a library for machine-to-machine interaction with Coq with particular emphasis on integrated development environments, code analysis tools and machine learning. . Coq is a proof assistant for higher-order logic. . This package provides utilities to work with the serapi plugin. Package: coqide Description-md5: ed74063b20a63106a7031db76f847ec1 Description-en: proof assistant for higher-order logic (gtk interface) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides CoqIde, a graphical user interface for developing proofs. Package: coqprime-tools Description-md5: 4282c65e7beec6bbf7b85c3bb7bc25ed Description-en: Primality certification for Coq (tools) Coqprime consists in: - a library of facts from number theory - a library for elliptic curves - an efficient library for modular arithmetic - tools to generate Pocklington and elliptic curve certificates of primality . Coq is a proof assistant for higher-order logic. Package: cvise Description-md5: 0d97eed0474b333b884c9bef9da0e19d Description-en: super-parallel Python port of the C-Reduce project C-Vise is a tool that takes a large C, C++ or OpenCL program that has a property of interest (such as triggering a compiler bug) and automatically produces a much smaller C/C++ or OpenCL program that has the same property. It is intended for use by people who discover and report bugs in compilers and other tools that process C/C++ or OpenCL code. . NOTE: C-Vise happens to do a pretty good job reducing the size of programs in languages other than C/C++, such as JavaScript and Rust. If you need to reduce programs in some other language, please give it a try. Package: debcargo Description-md5: f2f7a9e991939d8ab97f55a64774c1dd Description-en: Create a Debian package from a Cargo crate This package contains the following binaries built from the Rust crate "debcargo": - debcargo Package: debconf-utils Description-md5: 6ee047164ccc84b6b919790585947b39 Description-en: debconf utilities This package contains some small utilities for debconf developers. Package: deken Description-md5: 613b085629314b423c1c10e0dd8ba7fc Description-en: Externals wrangler for Pure Data - upload utility deken is a simple and easy to use package manager for Pure Data (Pd). . This package provides a command line tool that facilitates the creation and upload of your own packages to the official package repository puredata.info. Package: deluge Description-md5: 0dfb1f7e9f90322c997eb4049b413501 Description-en: multi-interface BitTorrent client (metapackage) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluge-daemon running on a server: . * deluge-gtk: graphical UI using GTK * deluge-console: text UI using ncurses * deluge-web: web frontend . This package is a metapackage depending on the graphical UI and the daemon for running deluge on a single machine. Package: deluge-common Description-md5: 6463d6aa649c48bab0aa6e5d32b023e4 Description-en: multi-interface BitTorrent client (common) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluged running on a server. . This package contains the shared files between the clients and the server. Package: deluge-console Description-md5: 7775ea5933b2f45c1f2821f7c99c7ba2 Description-en: multi-interface BitTorrent client (text UI) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluged running on a server. . This package contains the text user-interface (ncurses). Package: deluge-gtk Description-md5: b03ac62ed99ecce82f6089b545c728ae Description-en: multi-interface BitTorrent client (graphical UI) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluged running on a server. . This package contains the graphical user-interface (GTK). Package: deluge-web Description-md5: 7b1f9ea337c9eb2682155d3bafb82e8e Description-en: multi-interface BitTorrent client (web frontend) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluged running on a server. . This package contains the web frontend. Package: deluged Description-md5: ab4dee155e33eb5b5dd3444fc625cc91 Description-en: multi-interface BitTorrent client (server) Deluge is a BitTorrent client written in Python. It uses a client-server model supporting multiple user-interfaces that can connect to a (headless) deluged running on a server. . This package contains the server. Package: desmume Description-md5: 3c38f4d8b701e8921ce8a7f5eb1a7aee Description-en: Nintendo DS emulator DeSmuME is a Nintendo DS emulator running homebrew demos and commercial games. . This package includes only the command line user interface desmume-cli. Package: detox Description-md5: 57a56ea30e81ef29e23165136cbfe243 Description-en: replace problematic characters in filenames detox is a utility designed to clean up filenames. It replaces difficult to work with characters, such as spaces, with standard equivalents. It will also clean up filenames with UTF-8 or Latin-1 (or CP-1252) characters in them. . Features: * Removal or replacement of upper ASCII Latin-1 (ISO 8859-1) characters; * Removal or replacement of UTF-8 encoded Unicode characters; * Removal or replacement of spaces and other potentially tricky characters; * Trimming of excessive "_" and "-"s; * Directory recursion, dry runs, verbose listings. . It is designed with safety in mind. It won't overwrite a file that already exists, and it doesn't touch special files if not requested. . detox is useful to mass rename files automatically. As just one example, you can use detox to easily standardize lots of files, as MP3 or movies, downloaded or stored inside a directory. . This package provides detox and inline-detox commands. The inline-detox can be used in command lines, as a filter in shell procedures. Package: dhall Description-md5: c57c005ec08beb181b3c8709238c2663 Description-en: configuration language guaranteed to terminate Dhall is an explicitly-typed configuration language that is not Turing complete. Despite being Turing-incomplete, Dhall is a real programming language with a type-checker and evaluator. . Use this library to parse, type-check, evaluate, and pretty-print the Dhall configuration language. . This package contains an executable which type-checks a Dhall file and reduces the file to a fully evaluated normal form. Package: dials Description-md5: ca13c7c5eb0b3848fea8c4630137336b Description-en: Diffraction Integration for Advanced Light Sources The DIALS software is developed in a fully open-source, collaborative environment. The main development teams are based at Diamond Light Source and CCP4, in the UK, and at Lawrence Berkeley National Laboratory, USA. However, in the spirit of the open source movement, we welcome collaboration from anyone who wishes to contribute to the project. . To avoid “reinventing the wheel” as much as possible, the DIALS project builds on knowledge accumulated over many decades in the field of crystallographic data processing. We benefit greatly from the altruism of experts who contribute their ideas and advice, either directly or via their detailed publications on existing algorithms and packages such as XDS [2] and MOSFLM [3]. At the heart of the DIALS framework lies a design philosophy of hardware abstraction and a generalised model of the experiment that is inspired directly by material published on the seminal workshops on position sensitive detector software [1]. Continuing in the spirit of these workshops we held our own series of meetings, with talks from invited speakers, and code camps in which specific problems are addressed by intensive effort across the collaboration. Summaries of these meetings and copies of slides given as presentations are available here. . DIALS is written using Python and C++, making heavy use of the cctbx [4] for core crystallographic calculations and much infrastructure including a complete build system. Seamless interaction between the C++ and Python components of this hybrid system is enabled by Boost.Python. Python provides a useful ground for rapid prototyping, after which core algorithms and data structures may be transferred over to C++ for speed. High level interfaces of the hybrid system remain in Python, facilitating further development and code reuse both within DIALS and by third parties. Package: diaspora-common Description-md5: fca0adb2b58e8d8531627141d1d4c892 Description-en: distributed social networking service - common files Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . It provides files common for the diaspora and diaspora-installer packages. Package: diaspora-installer Description-md5: 120c7fb469648e6eb3e942926e8b1ea0 Description-en: distributed social networking service - installer Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . This dummy package downloads diaspora (also pulling in runtime dependencies as rubygems) and configures it to use PostgreSQL and Nginx. . Unlike the normal Debian package, this package installs exact versions of the dependencies supported by upstream. Package: diaspora-installer-mysql Description-md5: c18a155ca94ebb0900ab3ea2aa77e4b9 Description-en: distributed social networking service - installer (with MySQL) Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . This dummy package downloads diaspora (also pulling in runtime dependencies as rubygems) and configures it to use MySQL and Nginx. . Unlike the normal Debian package, this package installs exact versions of the dependencies supported by upstream. Package: dico Description-md5: 13351509d30fca7bfbce1ebfb40dafec Description-en: RFC 2229 compliant dictionary client GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the dico console client. Package: dico-dev Description-md5: 16e1bb2a6ba815217fba949b97e64a68 Description-en: RFC 2229 compliant modular dictionary server (development files) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the header files, static libraries, and symbolic links needed for development. Package: dico-doc Description-md5: 17ba737c119a2c7c1da487b29883c36c Description-en: RFC 2229 compliant modular dictionary server (documentation) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the complete documentation for GNU Dico. Package: dico-module-guile Description-md5: 66523e3cc8ec7aaa5245271578006b86 Description-en: RFC 2229 compliant modular dictionary server (Guile module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides an abstract layer for interfacing with database modules written in Guile. Package: dico-module-mediawiki Description-md5: 6d62157d3239bb297f3bc3f3014fc280 Description-en: RFC 2229 compliant modular dictionary server (mediawiki module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the module that handles the use of Wiktionary or Wikipedia as a dictionary database. Package: dico-module-python Description-md5: 328809bb25555818a70089c7b9bac544 Description-en: RFC 2229 compliant modular dictionary server (Python module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides an abstract layer for interfacing with database modules written in Python. Package: dico-module-wordnet Description-md5: d2928f88165a5fd8534fc0276732250d Description-en: RFC 2229 compliant modular dictionary server (WordNet module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides an abstract layer for reading WordNet lexical database files. Package: dicod Description-md5: ec9ad191eacf23c9cb9849b4c27dc108 Description-en: RFC 2229 compliant modular dictionary server GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the dicod server, in addition to the following plugins: * ldap: provides LDAP support * substr: provides a substring search strategy * stratall: provides the 'all' search strategy Package: dicoweb Description-md5: aea960854256df0c1d2a77cc4a99f90a Description-en: RFC 2229 compliant modular dictionary server (web interface) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides a web interface for database searches. Package: dmtx-utils Description-md5: b76d6312785a58a476a5eb7b6624d98b Description-en: Utilities for reading and writing Data Matrix 2D barcodes Command-line utilities for reading and writing Data Matrix 2D barcodes, two-dimensional symbols that hold a dense pattern of data with built-in error correction. Package: docker-registry Description-md5: dec26d26103381d10d2cb2fbed09ab63 Description-en: Docker toolset to pack, ship, store, and deliver content The Docker toolset to pack, ship, store, and deliver content. . The Docker Registry 2.0 implementation contained in this package is for storing and distributing Docker images. It supersedes the docker/docker-registry project with a new API design, focused around security and performance. . This project should provide an implementation to a V2 API for use in the Docker core project. The API should be embeddable and simplify the process of securely pulling and pushing content from docker daemons. . The new registry implementation provides the following benefits: . * faster push and pull * new, more efficient implementation * simplified deployment * pluggable storage backend * webhook notifications Package: doctest Description-md5: 404f6e768fb995bf2ee3802142a923b2 Description-en: test interactive Haskell examples; executable The doctest program checks examples in source code comments. It is modeled after doctest for Python (). . Documentation is at . . This package contains the doctest executable. Package: dolfin-bin Description-md5: 8319156332b1455d0d2d298062600604 Description-en: Executable scripts for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains executable scripts for DOLFIN. Package: dolfin-doc Description-md5: 6d80f35b15cb807f29f485694db24fae Description-en: Documentation and demo programs for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains documentation and demo programs for DOLFIN. Package: dracut Description-md5: ff5d73107edf988d1308accca8de03f4 Description-en: Initramfs generator using udev This package builds a bootable initramfs for Linux kernel packages. The initramfs is loaded along with the kernel and is responsible for mounting the root filesystem and starting the main init system. Package: dracut-config-rescue Description-md5: cdbd0e866f1b2aa69a08ff629c17bcc4 Description-en: dracut is an event driven initramfs infrastructure This is the dracut configuration to turn on rescue image generation Package: dracut-live Description-md5: 82eda9955b37992c0f2f77558a84b7d8 Description-en: dracut is an event driven initramfs infrastructure (live image modules) Package to build a dracut initramfs with live image capabilities. . This package requires everything which is needed to build an initramfs with dracut, with live image capabilities, like Live CDs. Package: dracut-network Description-md5: 35b333bb5f6987592870eaf905d6c38d Description-en: dracut is an event driven initramfs infrastructure (network modules) Package to build a dracut initramfs with network support. This includes dracut modules for NFS, CIFS, iSCSI, HTTP, NBD, FCP and FCOE. Package: dracut-squash Description-md5: 85a5b251b3f335a51a518f8d85951f68 Description-en: dracut is an event driven initramfs infrastructure (squashfs modules) Package to build an initramfs with most files in a squashfs image. . This package provides a dracut module to build an initramfs, but store most files in a squashfs image, result in a smaller initramfs size and reduce runtime memory usage. Package: ecflow-client Description-md5: 4465c7db96b428b1574b9117dddef6a2 Description-en: Client tools for Meteorological workflow ecFlow is a work flow package that enables users to run a large number of programs ( with dependencies on each other and on time) in a controlled environment. It provides reasonable tolerance for hardware and software failures, combined with good restart capabilities. . ecFlow submits tasks(jobs) and receives acknowledgements from tasks when they change status and when they send events, using child commands embedded in the scripts. ecflow stores the relationship between tasks, and is able to submit tasks dependent on triggers. . This package contains the client tools Package: ecflow-server Description-md5: 7e89b304c0e7747b0f79b63729eb8133 Description-en: Meteorological workflow controller - server ecFlow is a work flow package that enables users to run a large number of programs ( with dependencies on each other and on time) in a controlled environment. It provides reasonable tolerance for hardware and software failures, combined with good restart capabilities. . ecFlow submits tasks(jobs) and receives acknowledgements from tasks when they change status and when they send events, using child commands embedded in the scripts. ecflow stores the relationship between tasks, and is able to submit tasks dependent on triggers. Package: elpa-flycheck Description-md5: a537e395ab01bcebd0553cd7a9a35398 Description-en: modern on-the-fly syntax checking for Emacs Flycheck uses various syntax checking and linting tools to automatically check the contents of buffers while the user types, and reports warnings and errors directly in the buffer, or in an optional error list. . It is intended to replace the older Flymake which is part of Emacs core. Package: elpi Description-md5: f7bf6d601995cf75b1c02c4c67a89060 Description-en: embeddable lambda-Prolog interpreter (tool) ELPI implements a lambda-Prolog interpreter enriched with constraint handling rules, to provide an extension language to larger applications written in OCaml. . This package provides the command-line tool. Package: emscripten Description-md5: 46339f12bf7f0c234a5b61dbc48f3ab3 Description-en: LLVM-to-JavaScript Compiler Emscripten is an LLVM to JavaScript compiler. It takes LLVM bitcode, also called LLVM IR (which can be generated from C/C++ using Clang, or any other language that can be converted into LLVM bitcode) and compiles that into JavaScript, which can be run on the web (or anywhere else JavaScript can run). . Using Emscripten, you can * Compile C and C++ code into JavaScript and run that on the web * Run code in languages like Python as well, by compiling CPython from C to JavaScript and interpreting code in that on the web . Some uses of emscripten require additional packages: * setting WASM2C requires wabt (at least release 1.0.24-2). * emcmake requires cmake. * emconfigure may require automake. * emmake requires make. * emrun option --android requires adb. * emscons requires scons. * WebIDL Binder requires python3-ply. Package: emscripten-doc Description-md5: f0e422567662ce47b8f128b7deffb4da Description-en: LLVM-to-JavaScript Compiler Emscripten is an LLVM to JavaScript compiler. It takes LLVM bitcode, also called LLVM IR (which can be generated from C/C++ using Clang, or any other language that can be converted into LLVM bitcode) and compiles that into JavaScript, which can be run on the web (or anywhere else JavaScript can run). . Using Emscripten, you can * Compile C and C++ code into JavaScript and run that on the web * Run code in languages like Python as well, by compiling CPython from C to JavaScript and interpreting code in that on the web . This package contains the documentation. Package: esys-particle Description-md5: 04ba0c118e19e7a213b0c75adebeded0 Description-en: Software for particle-based numerical modelling (MPI version) ESyS-Particle is Open Source software for particle-based numerical modelling. The software implements the Discrete Element Method (DEM), a widely used technique for modelling processes involving large deformations, granular flow and/or fragmentation. ESyS-Particle is designed for execution on parallel supercomputers, clusters or multi-core PCs running a Linux-based operating system. The C++ simulation engine implements spatial domain decomposition via the Message Passing Interface (MPI). A Python wrapper API provides flexibility in the design of numerical models, specification of modelling parameters and contact logic, and analysis of simulation data. ESyS-Particle has been utilised to simulate earthquake nucleation, comminution in shear cells, silo flow, rock fragmentation, and fault gouge evolution, to name but a few applications. Package: etc1tool Description-md5: 1bbf24cfceac355f34ed7421b8bee0f0 Description-en: ETC1 conversion tool etc1tool is a command line utility that lets you encode PNG images to the ETC1 compression standard and decode ETC1 compressed images back to PNG. It is part of the Android SDK for working with media files for game apps. The standard for the ETC1 texture format is here: http://www.khronos.org/registry/gles/extensions/OES/OES_compressed_ETC1_RGB8_texture.txt Package: exactimage Description-md5: 9949da3ff157b2d9d5470042b5d548a3 Description-en: fast image manipulation programs ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the utilities. Package: examl Description-md5: 4972cdf739509b60035d9f3b35bafb60 Description-en: Exascale Maximum Likelihood (ExaML) code for phylogenetic inference Exascale Maximum Likelihood (ExaML) is a code for phylogenetic inference using MPI. This code implements the popular RAxML search algorithm for maximum likelihood based inference of phylogenetic trees. . ExaML is a strapped-down light-weight version of RAxML for phylogenetic inference on huge datasets. It can only execute some very basic functions and is intended for computer-savvy users that can write little perl-scripts and have experience using queue submission scripts for clusters. ExaML only implements the CAT and GAMMA models of rate heterogeneity for binary, DNA, and protein data. . ExaML uses a radically new MPI parallelization approach that yields improved parallel efficiency, in particular on partitioned multi-gene or whole-genome datasets. It also implements a new load balancing algorithm that yields better parallel efficiency. . It is up to 4 times faster than its predecessor RAxML-Light and scales to a larger number of processors. Package: eza Description-md5: 7ed1042380d482b0c7e7072e0ce6ac97 Description-en: Modern replacement for ls eza is an improved file lister with more features and better defaults. It uses colours to distinguish file types and metadata. It knows about symlinks, extended attributes, and Git. And it’s small, fast, and just one single binary. Package: faketime Description-md5: 583f56c26c345706c1147c5561a549d0 Description-en: Report faked system time to programs (command-line tool) The Fake Time Preload Library (FTPL, a.k.a. libfaketime) intercepts various system calls which programs use to retrieve the current date and time. It can then report faked dates and times (as specified by you, the user) to these programs. This means you can modify the system time a program sees without having to change the time system-wide. FTPL allows you to specify both absolute dates (e.g., 2004-01-01) and relative dates (e.g., 10 days ago). . This package contains a "faketime" binary that makes it easy to use the LD_PRELOAD library. Package: fastboot Description-md5: 191f300ce2b717b2e20bb7a62d2818fb Description-en: Android fastboot tool A command line tool for flashing an Android device, boot an Android device to fastboot mode, etc.. . This package recommends "android-sdk-platform-tools-common" which contains the udev rules for Android devices. Without this package, adb and fastboot need to be running with root permission. Package: fenics Description-md5: d3015a1f60aae2dbbc9de5b6f0aa19b9 Description-en: Automated Solution of Differential Equations FEniCS is a collection of free software for automated, efficient solution of differential equations. . FEniCS has an extensive list of features, including automated solution of variational problems, automated error control and adaptivity, a comprehensive library of finite elements, high performance linear algebra and many more. . FEniCS is organized as a collection of interoperable components, including the problem-solving environment DOLFIN, the form compiler FFC, the finite element tabulator FIAT, the just-in-time compiler Instant, the code generation interface UFC, the form language UFL and a range of additional components. . This is a metapackage which depends on all FEniCS components. . This is the legacy version of FEniCS. You may want to consider installing the next-generation FEniCS-X (fenicx package). Package: fenicsx Description-md5: e4e2e6146cf4c1c06ad520ad19b71e84 Description-en: Automated Solution of Differential Equations FEniCS is a collection of free software for automated, efficient solution of differential equations. . FEniCS has an extensive list of features, including automated solution of variational problems, automated error control and adaptivity, a comprehensive library of finite elements, high performance linear algebra and many more. . FEniCS is organized as a collection of interoperable components, including the problem-solving environment DOLFIN, the form compiler FFC, the finite element tabulator FIAT, the just-in-time compiler Instant, the code generation interface UFC, the form language UFL and a range of additional components. . This is a metapackage which depends on all FEniCS-X components. . FEniCS-X is the next-generation implementation of FEniCS (with DOLFIN-X, FFC-X) Package: ffindex Description-md5: 8abce3f23162533b9e5ba184ce05afc6 Description-en: simple index/database for huge amounts of small files FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package provides the executables. Package: fiat-utils Description-md5: 903925aaad2c14c156cc46997530eb89 Description-en: Fortran IFS and Arpege Toolkit - utilities FIAT is a collection of selected Fortran utility libraries, extracted from the IFS/Arpege model used at ECMWF. It provides: drhook : tracing gstats : timing parkind : choose precision mpl : MPI communication mpi_serial: MPI dummy symbols compiled into static library other various routines . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: fitsverify Description-md5: 635a93bcd1440d16621693fe064c2aa9 Description-en: transitional dummy package This is a transitional dummy package, it can be safely removed. Package: flang Description-md5: bd017b88c85853e1292c78015b1d62a8 Description-en: Fortran compiler Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. Package: flang-19 Description-md5: bd017b88c85853e1292c78015b1d62a8 Description-en: Fortran compiler Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. Package: flang-20 Description-md5: bd017b88c85853e1292c78015b1d62a8 Description-en: Fortran compiler Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. Package: flycheck-doc Description-md5: 2b752f744980a4c6e2ff13af7e4e80a6 Description-en: modern on-the-fly syntax checking for Emacs - documentation Flycheck uses various syntax checking and linting tools to automatically check the contents of buffers while the user types, and reports warnings and errors directly in the buffer, or in an optional error list. . This package includes flycheck's HTML documentation. Package: flydraw Description-md5: aa058a16abd6cbeedce7c237076a8669 Description-en: command-line drawing tool flydraw is a drawing tool based on an interpreter which parses a language featuring rich graphic primitives; it uses libgd to output GIF, JPEG, or PNG files. Package: fop Description-md5: 7c52f7896f03be5c1298e2f10b724c19 Description-en: XML formatter driven by XSL Formatting Objects (XSL-FO.) - app FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the fop command line tool Package: fop-doc Description-md5: 724066902129e5385dbeeff72241b377 Description-en: XML formatter driven by XSL Formatting Objects (doc) - doc FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the documentation. Package: frama-c-base Description-md5: 2e7860054dd191ec0787bab2062c3031 Description-en: Platform dedicated to the analysis of source code written in C Frama-C gathers several analysis techniques in a single collaborative framework, based on analyzers (called "plug-ins") that can build upon the results computed by other analyzers in the framework. . Thanks to this approach, Frama-C provides sophisticated tools, including: * an analyzer based on abstract interpretation (Value plug-in); * a program proof framework based on weakest precondition calculus (WP plug-in); * a program slicer (Slicing plug-in); * a tool for verification of temporal (LTL) properties (Aoraï plug-in); * several tools for code base exploration and dependency analysis (plug-ins From, Impact, Metrics, Occurrence, Scope, etc.). . These plug-ins communicate between each other via the Frama-C API and via ACSL (ANSI/ISO C Specification Language) properties. . This package provides the library of Frama-c which is useful to build plugins for Frama-c, the command-line tools, and the graphical interface. Package: fritzing Description-md5: 7f056a3679631d4272496446763b4bd9 Description-en: Easy-to-use electronic design software Fritzing is an open source project designed to help one transition from a prototype to a finished project. Aimed at users who want to produce or document circuits and experiments, one starts by building a physical prototype, then recreating it with Fritzing’s graphical editor. From there one can generate a schematic, PCB artwork, and PCB production files. Package: fritzing-data Description-md5: e785ce98bf5abb0260ab40b4807583ac Description-en: Easy-to-use electronic design software (data files) Fritzing is an open source project designed to help one transition from a prototype to a finished project. Aimed at users who want to produce or document circuits and experiments, one starts by building a physical prototype, then recreating it with Fritzing’s graphical editor. From there one can generate a schematic, PCB artwork, and PCB production files. . This package contains the architecture independent data files for Fritzing. Package: fscrypt Description-md5: a00e89ddf41088a1fe352513192a47b3 Description-en: Tool for managing Linux filesystem encryption fscrypt is a high-level tool for the management of Linux filesystem encryption. This tool manages metadata, key generation, key wrapping, PAM integration, and provides a uniform interface for creating and modifying encrypted directories. . To use fscrypt, you must have a filesystem with encryption enabled and a kernel that supports reading/writing from that filesystem. Currently, ext4, F2FS, and UBIFS support Linux filesystem encryption. Ext4 has supported Linux filesystem encryption since v4.1, F2FS added support in v4.2, and UBIFS added support in v4.10. Other filesystems may add support for native encryption in the future. Package: ftp-proxy Description-md5: 62e06e3e66504bb8dcc3df43dd9668ff Description-en: application level proxy for the FTP protocol FTP-Proxy is a transparent, application-level proxy server for FTP connections, designed to protect FTP servers against attacks based on the FTP protocol. It is the first (and currently only) component of the SuSE Proxy Suite, a set of programs to enhance firewall security. . FTP-Proxy is much less complex than any current FTP server, has been designed with great care and performs chroot(), setuid(), setgid() to avoid possible vulnerabilities, and is believed to be immune against current known attacks. . FTP-Proxy features include: o Securely relays FTP connections between clients and servers o Can switch connections from active to passive and vice versa o Utilizes port ranges for both control and data connections o Provides extensive auditing (via syslog or rotating log files) o Can separate user related from system triggered audit events o Provides command restriction based on logged in user name o Allows command argument checking with regular expressions o Is able to retrieve configuration data from an LDAP directory o Has been thoroughly tested against buffer overflow attacks o Fully conforms to RFC 959 and 1123 (the basic FTP RFCs) o Planned to support RFC 1579 ("Firewall Friendly FTP") o Planned to support RFC 2428 (IPv6 Extensions for FTP) o Based on GNU AutoConf, supposed to run on many UNIX systems Package: ftp-proxy-doc Description-md5: c6a24aa91cec5d8501d5b000611c9c39 Description-en: documentation for ftp-proxy FTP-Proxy is a transparent, application-level proxy server for FTP connections, designed to protect FTP servers against attacks based on the FTP protocol. It is the first (and currently only) component of the SuSE Proxy Suite, a set of programs to enhance firewall security. . This package contains the documentation in PDF and HTML for ftp-proxy. Package: futhark Description-md5: 47ac5500354c2c50d90da63c31abcd0c Description-en: optimising compiler for Futhark programming language Futhark is a small programming language designed to be compiled to efficient parallel code. It is a statically typed, data-parallel, and purely functional array language in the ML family, and comes with a heavily optimising ahead-of-time compiler that presently generates GPU code via CUDA, HIP and OpenCL, although the language itself is hardware-agnostic. Package: futhark-doc Description-md5: baf8db9d9b01418f30f403f004dac84d Description-en: Futhark User's Guide Futhark is a small programming language designed to be compiled to efficient parallel code. It is a statically typed, data-parallel, and purely functional array language in the ML family, and comes with a heavily optimising ahead-of-time compiler that presently generates GPU code via CUDA, HIP and OpenCL, although the language itself is hardware-agnostic. . HTML documentation for the Futhark programming language. Package: g++-mingw-w64 Description-md5: be80ce4b74c17089adf52fd4e6f4d519 Description-en: GNU C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: g++-mingw-w64-i686 Description-md5: 6366762ba193116a3b542733f5cfb5e8 Description-en: GNU C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: g++-mingw-w64-i686-posix Description-md5: 59f77e288f7da43385e23cd3357279e5 Description-en: GNU C++ compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: g++-mingw-w64-i686-win32 Description-md5: a417f252857a5b8608e19402178d0d79 Description-en: GNU C++ compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: g++-mingw-w64-x86-64 Description-md5: 14601edb773363e23588439ef1aaa043 Description-en: GNU C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: g++-mingw-w64-x86-64-posix Description-md5: 2f78b451e8f57f04cf2bb392f2101c0e Description-en: GNU C++ compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: g++-mingw-w64-x86-64-win32 Description-md5: b7a278b6e6a5418562b9721cbfb394f2 Description-en: GNU C++ compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: garli Description-md5: 26bd1f70ecc029da7a39ce42be819b22 Description-en: phylogenetic analysis of molecular sequence data using maximum-likelihood GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for inferring phylogenetic trees. Using an approach similar to a classical genetic algorithm, it rapidly searches the space of evolutionary trees and model parameters to find the solution maximizing the likelihood score. It implements nucleotide, amino acid and codon-based models of sequence evolution, and runs on all platforms. The latest version adds support for partitioned models and morphology-like datatypes. Package: garli-examples Description-md5: 0edf68e52e3e7dd1a026db9b6fdb2621 Description-en: phylogenetic analysis of molecular sequence data (examples) GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for inferring phylogenetic trees. Using an approach similar to a classical genetic algorithm, it rapidly searches the space of evolutionary trees and model parameters to find the solution maximizing the likelihood score. It implements nucleotide, amino acid and codon-based models of sequence evolution, and runs on all platforms. The latest version adds support for partitioned models and morphology-like datatypes. . This package contains example data for garli. Package: garli-mpi Description-md5: ee7b598933ca6c4be7968b793f32d680 Description-en: phylogenetic analysis of molecular sequence data using maximum-likelihood (MPI) GARLI, Genetic Algorithm for Rapid Likelihood Inference is a program for inferring phylogenetic trees. Using an approach similar to a classical genetic algorithm, it rapidly searches the space of evolutionary trees and model parameters to find the solution maximizing the likelihood score. It implements nucleotide, amino acid and codon-based models of sequence evolution, and runs on all platforms. The latest version adds support for partitioned models and morphology-like datatypes. . This version of Garli is using MPI. Package: gcc-arm-none-eabi Description-md5: 9da50871a8c83af046cd3b2d2c25fba2 Description-en: GCC cross compiler for ARM Cortex-R/M processors Bare metal C and C++ compiler for embedded ARM chips using Cortex-M, and Cortex-R processors. This package is based on the GNU ARM toolchain provided by ARM. Package: gcc-arm-none-eabi-source Description-md5: 8e7ec8e77660318baa356aae00688625 Description-en: GCC cross compiler for ARM Cortex-R/M processors (source) Bare metal C and C++ compiler for embedded ARM chips using Cortex-M, and Cortex-R processors. This package is based on the GNU ARM toolchain provided by ARM. . This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC) for embedded ARM chips. Package: gcc-mingw-w64 Description-md5: 186a2a61eb56afd112def7e23ba6c0ba Description-en: GNU C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gcc-mingw-w64-base Description-md5: c672bcae021d4c3e369501f16896add5 Description-en: GNU Compiler Collection for MinGW-w64 (base package) MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This empty package contains the documentation common to all gcc-mingw-w64 packages. Package: gcc-mingw-w64-i686 Description-md5: 1df9e48aacb272ce9615f670323ec4b7 Description-en: GNU C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gcc-mingw-w64-i686-posix Description-md5: aaea0a71bcf8004dbff53ab14cdc680c Description-en: GNU C compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gcc-mingw-w64-i686-posix-runtime Description-md5: 8cf4db2a61554a8b638d718263fa1896 Description-en: GNU Compiler Collection for MinGW-w64, i686/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for i686, using the POSIX thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-i686-win32 Description-md5: 9d97b15b1a36742b6f1c0af43e50bd9e Description-en: GNU C compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gcc-mingw-w64-i686-win32-runtime Description-md5: 388de3e4d18656b3a94b84c4a51659cf Description-en: GNU Compiler Collection for MinGW-w64, i686/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for i686, using the Win32 thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-x86-64 Description-md5: cfe2f1984459e655ca7197df3f410b29 Description-en: GNU C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gcc-mingw-w64-x86-64-posix Description-md5: 6a3f3e94c5611377c2ca02e8e014ab3a Description-en: GNU C compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gcc-mingw-w64-x86-64-posix-runtime Description-md5: a45e6da30baf0e44fb002221b7820e3f Description-en: GNU Compiler Collection for MinGW-w64, x86-64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for x86-64, using the POSIX thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-x86-64-win32 Description-md5: caa3be7db7eeed56498fd7c4fb27f2b2 Description-en: GNU C compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gcc-mingw-w64-x86-64-win32-runtime Description-md5: 6a92bf4ba77035bbc3f428c93f7fd133 Description-en: GNU Compiler Collection for MinGW-w64, x86-64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for x86-64, using the Win32 thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gdb-multiarch Description-md5: a1817b57c8908df620117da195d633bc Description-en: GNU Debugger (with support for multiple architectures) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, Go, and Ada. A must-have for any serious programmer. . This package contains a version of GDB which supports multiple target architectures. Package: gdb-source Description-md5: f6e1298a18996d699ccfb824f5342e59 Description-en: GNU Debugger (source) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, Go, and Ada. A must-have for any serious programmer. . This package contains the sources and patches which are needed to build GDB. Package: gdbserver Description-md5: 883ab8a4c88e4e7893ca5e20099104e3 Description-en: GNU Debugger (remote server) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, Go, and Ada. A must-have for any serious programmer. . This package contains gdbserver. Install this to debug remotely from another system where GDB is installed. Package: geany Description-md5: c0d5bdfd9932e3c9874301db29cfafa1 Description-en: fast and lightweight IDE Geany is a small and lightweight integrated development environment. It was developed to provide a small and fast IDE, which has only a few dependencies from other packages. It is using only the GTK3 toolkit and therefore you need only the GTK3 runtime libraries to run Geany. . The basic features of Geany are: - syntax highlighting - code completion - auto completion of constructs like if, for and while, XML and HTML - call tips - folding - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal - symbol lists - embedded terminal emulation Package: geany-common Description-md5: 4f0e7d9ecfaaf1934733fa0b66844e50 Description-en: fast and lightweight IDE -- common files Geany is a small and lightweight integrated development environment. It was developed to provide a small and fast IDE, which has only a few dependencies from other packages. It is using only the GTK3 toolkit and therefore you need only the GTK3 runtime libraries to run Geany. . The basic features of Geany are: - syntax highlighting - code completion - auto completion of constructs like if, for and while, XML and HTML - call tips - folding - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal - symbol lists - embedded terminal emulation . This package contains arch independent files. Package: geany-plugin-addons Description-md5: 75fdfcea8f55dff2e3dbb5f23e681825 Description-en: miscellaneous plugins for Geany This plugin adds various small addons to Geany which aren't worth an individual plugin, but might still be useful for people. * DocList: This addon places a new item in the toolbar and when clicked offers a menu listing all open files plus the 'Close All' and 'Close Other Documents' menu items. This can be useful to quickly access open files and switch to them. * OpenURI: Adds 'Open URI' and 'Copy URI' menu items to the editor menu when the word under the cursor looks like a URI. 'Open URI' uses the browser command configured in Geany to open it. * Tasks: The tasks plugin goes through a file being edited and picks out lines with "TODO" or "FIXME" in them. It collects the text after those words and puts them in a new "Tasks" tab in the message window. Clicking on a task in that tab takes you to the line in the file where the task was defined. * Systray: Adds a status icon to the notification area (systray) and provides a simple popup menu with some basic actions. It can also be used to quickly show and hide the Geany main window. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-autoclose Description-md5: b493756d62cf77d299b875cc4e5a8ee2 Description-en: auto-closing plugin for Geany This plugin enables auto-closing features. Auto-closing works while you typing and intellectually helps you to write code. . Features: * auto-close for: { }, [ ], ( ), " ", ' ', < >, ` ` * customizeable auto-closing inside strings and comments * delete pairing character if you pressed BackSpace * suppress inserting one char twice (if you type "{}" you will get "{}", not "{}}") * enclose selected text into brackets instead of removing selection (select text and type "(" or ")" to enclose selection into "()") * keep selection when enclosing * for C-like languages enclosing selection into "{}" makes auto-indentation (select text and type "{" or "}" - text will be enclosed and indented) * enclosing in {} moves cursor to beginning (before "{" character) * for C-like languages to insert {}-block you do not need to select text precisely: plugin detects boundaries automatically, just ensure that selection covers lines you need to indent (works like TAB indentation) * fix auto-indent inside {} (makes full indent for this block) * auto-close curly bracket by pressing Enter * auto-close functions (``"sin(|" -> "sin(|);"``) with doubling suppression (for C/C++ languages only) * remove paring brace when pressing Shift+BackSpace, unindent {}-blocks * add semicolon after ``struct {|};`` and ``class {|};`` * move cursor to closed char by pressing Tab . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-automark Description-md5: 276fd7c2093c3d559ab9356cca12298b Description-en: auto-mark plugin for Geany This is a simple plugin that highlights all words that match current word under cursor (see screenshot). . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-codenav Description-md5: 6b0da2015ec2feb22215e285bf09b66f Description-en: code navigation plugin for Geany This plugin adds some facilities for navigating in code using Geany, making it possible to: * Switch between header and implementation * Go to a file by typing its name . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-commander Description-md5: eaa0e0da896c001d0c9a8e4143a79deb Description-en: command panel plugin for Geany This plugin adds a command panel to Geany for rapid access to any action. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-ctags Description-md5: 478a74c53c3a50316de86a33db345039 Description-en: ctags plugin for Geany GeanyCtags adds a simple support for generating and querying ctags files for a Geany project. . Even though Geany supports symbol definition searching by itself within the open files (and with a plugin support within the whole project), tag regeneration can become too slow for really big projects. This is why this plugin was created. It makes it possible to generate the tag file only once and just query it when searching for a particular symbol definition/declaration. This approach is fine for big projects where most of the codebase remains unchanged and the tag positions remain more or less static. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-debugger Description-md5: 2053a567008dfdfea4fb659f7ae440e6 Description-en: debugger plugin for Geany Features: * Debugger panel * Setting target, environment variables and command line arguments * Breakpoints * Watches, autos * Debug terminal * Debugger messages window * Variables calltips while debugging * Saving debug session data in a Geany project (can be switched through settings) * Double or single panel modes * Hotkeys . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-defineformat Description-md5: 1d132e7a3e33ca7b4aebad773d39192a Description-en: on-the-fly #define prettyprinter plugin for Geany This plugin will help you to write multiline defines with aligned backslash. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-doc Description-md5: ed02b5e16b093a00133da998f5b78a97 Description-en: documentation plugin for Geany Geanydoc is a plugin for the Geany IDE which is intended to be used to search for documentation API from different sources. It allows execution of specified commands on the current word at the cursor position or otherwise specified via a dialog to obtain this documentation. This documentation is displayed in the geany buffer as a tab called *DOC*, or may be displayed in an external program. . After installing this package, you'll need to enable the "Doc" plugin and then setup a keyword binding for it in Geany's preferences dialogue. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-extrasel Description-md5: ce60499bae36ea8f6e33bdda608b6029 Description-en: extra selection plugin for Geany The Extra Selection plugin adds the following functions to Geany:- * Go to matching brace and select (select to matching brace) * Go to line and select (select to line) * Ctrl+Shift+Alt+Left/Right/Home/End key - same as Ctrl+Shift, but for rectangular selection. * Column mode - while active, all (Ctrl)-Shift-Arrow keys do rectangle selection instead of stream. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-gendoc Description-md5: 94de8df62993353138871351153d3578 Description-en: documentation generation plugin for Geany GeanyGenDoc is a plugin for Geany which provides support for automatically generating documentation based on comments in the source code. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-geniuspaste Description-md5: 2c872c9e0e9407146214a73b8b920c66 Description-en: GeniusPaste plugin for Geany This plugin allows the user to paste the code from Geany into five different pastebins. At the moment it supports this services: - codepad.org - tinypaste.com - pastebin.geany.org - dpaste.de - sprunge.us . GeniusPaste detects automatically the syntax of the code and paste it with syntax highlighting enabled. It can also display the pasted code opening a new browser tab. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-git-changebar Description-md5: 49c92ffb8f02f81b01252e771a709641 Description-en: git change bar plugin for Geany This plugin highlights uncommitted changes to files tracked with Git, and allows one to navigate through the hunks. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-gproject Description-md5: c1fab65fa7554c80c2cb2aba6f2f7064 Description-en: transitional dummy package for geany-plugin-projectorganizer This is a transitional dummy package. It can safely be removed. Package: geany-plugin-insertnum Description-md5: 1909ae858e8e868c264aa2f4ed64627d Description-en: number inserting plugin for Geany This plugin for Geany replaces a (possibly zero-width) rectangular selection with integer numbers, using start/step/base etc. specified by the user. For practical reasons, the number of lines is limited to 500000. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-keyrecord Description-md5: ed9a4b064efbc6fb006bbbd321a1cab5 Description-en: git change bar plugin for Geany This plugin allows you to record a sequence of keystrokes and to replay it several times. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-latex Description-md5: 100ff1ff400ba321d88badad9dc75cef Description-en: improved LaTeX support plugin for Geany GeanyLaTeX is a plugin for the Geany IDE to improve work with LaTeX. Features include: * Wizard for creating a new LaTeX-document * Frontend for easy input of \ref{} and \label{} * Easy adding of special characters and environments through plugin menu entry * Support for adding new items to BibTeX database * Toolbar with commonly used format options * Bulk replacement and input replacement of special characters . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-lineoperations Description-md5: 325a2c0de38437db83563a094a80ba2e Description-en: Line Operations plugin for Geany Line Operations is an assortment of simple line functions that can be applied to an open file. . Features . * Remove Duplicate Lines, sorted * Remove Duplicate Lines, ordered * Remove Unique Lines * Remove Empty Lines * Remove Whitespace Lines * Sort Lines Ascending * Sort Lines Descending . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-lipsum Description-md5: 47bd32f7e2d6cb5514f97bee3b545cf8 Description-en: Lorem Ipsum generator plugin for Geany GeanyLipsum is a plugin for Geany which implements a Lorem Ipsum generator to insert placeholder text into your document. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-lua Description-md5: ad635f7b27849a73abdcfc5fdddf9dbb Description-en: Lua scripting plugin for Geany GeanyLua is a plugin which provides a Lua scripting interface for the Geany IDE. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-macro Description-md5: 55b1d9a3a3fd46ef6f1aea0557975a62 Description-en: macro plugin for Geany Geanymacro is a plugin to provide user defined macros for Geany. This plugin allows you to record and use your own macros. Macros are sequences of actions that can then be repeated with a single key combination. So if you had dozens of lines where you wanted to delete the last 2 characters, you could simple start recording, press End, Backspace, Backspace, down line and then stop recording. Then simply trigger the macro and it would automatically edit the line and move to the next. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-markdown Description-md5: 92a094e1fedbd82e0aaa30bdb67af717 Description-en: markdown plugin for Geany This plugin provides a real-time preview of rendered Markdown in Geany. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-miniscript Description-md5: 770056820a34913c5b90cd7d75594a88 Description-en: Geany Mini-Script filter plugin This plugin is a tool to apply a script filter on: - the text selection, - the current document, - all documents of the current session. . The filter type can be: - Unix shell script, - Perl script, - Python script, - sed commands, - awk script. . The output can be: - the selection of the current document, - all the current document, - or a new document. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-numberedbookmarks Description-md5: 8340bc2f32555be087c4c23d732ac50a Description-en: numbered bookmarks plugin for Geany Geanynumberedbookmarks is a plugin to provide users with 10 numbered bookmarks (in addition to the usual bookkmarks). Normally if you had more than one bookmark, you would have to cycle through them until you reached the one you wanted. With this plugin you can go straight to the bookmark that you want with a single key combination. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-overview Description-md5: 58a772626e1c85d16177a6d7f4543bac Description-en: overview plugin for Geany This plugin provides an overview of the active document. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-pairtaghighlighter Description-md5: da3b5575bb9ab908baec9b3b9ee94d71 Description-en: tag pair highlighter plugin for Geany Finds and highlights matching opening/closing HTML tag by clicking or moving cursor inside a tag. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-pg Description-md5: ec2bfa67797fdf4ab6a7bbf552d17306 Description-en: pg plugin for Geany GeanyPG is a plugin for Geany that allows the user to encrypt, decrypt and verify signatures with GnuPG. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-pohelper Description-md5: aff4592deef57b9b5395e33c0509c4d5 Description-en: Geany plugin for improved support for GetText translation files Pohelper is a plugin for Geany that improves the support for GetText translation files . Features: * Navigation between all, untranslated or fuzzy messages * Reformatting of the translation (reflow) * Toggling the fuzziness of a translation * Pasting of the untranslated string to the translation * Automatic updating of the translation metadata . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-prettyprinter Description-md5: c31ba25f6bee6788d34429a7f8a4039f Description-en: XML pretty printer for Geany This plugin gives Geany XML pretty-printing functionality, allowing it to beautify and enhance the readability of XML files. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-prj Description-md5: 3a8fa0c6882602994f2aa3e91e832fbf Description-en: alternative project manager for Geany GeanyPrj is a plugin for Geany that provides an alternative method for managing projects in Geany, which moves away from Geany's default project management style, which is session-based, instead implementing a system which automatically opens a project when oen of its files are opened. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-projectorganizer Description-md5: 070e3278fe7b4e369bce8698d545e284 Description-en: projectorganizer plugin for Geany Project Organizer is an extension of Geany's project management displaying a tree of files belonging to the project in the sidebar. In addition, it enables complete indexing of the project files (and having code completion, syntax highlighting and tag definition/declaration jumps for the whole project) quick swapping between header and source files, improved opening of includes, searching project files by name and more. External directories can be attached to the project to extend the plugin's functionality to related directories outside the project tree. The plugin was created with big projects in mind so everything works fast enough even with projects consisting of tens of thousands of files. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-scope Description-md5: fd35f47b4aab48097a4b8f16eea9459e Description-en: graphical GDB front-end for Geany Scope is a graphical GDB front-end with the normal functions you would expect (stepping, breakpoints, etc.), and a few notable features: * The comminication between Scope and gdb is asynchronous. * You can enter any gdb command, at any time. * All gdb I/O (along with some other messages) is displayed in a terminal-like "Debug Console". Whenever you find the GUI lacking, simply switch to that console and work directly with gdb. * 7-bit/Locale/UTF-8 support for values. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-sendmail Description-md5: 3574b1e38a01ba9a40884a7dc33d15a1 Description-en: mailer plugin for Geany GeanySendMail is a plugin to send a document as attachment using the preferred mail client from inside Geany. It is similar to the envelope symbol of most office tools and requires a mail client that is supporting remote calls. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-shiftcolumn Description-md5: 3a4926a898f3d7bbd1bfa06f78c451fb Description-en: text column shifting plugin for Geany ShiftColumn is a plugin which allows text to be shifted horizontally in the Geany IDE. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-spellcheck Description-md5: 53d2dc921440db7405aafc40529fe94b Description-en: spellcheck plugin for Geany Spellcheck is a plugin which checks the content of the current document in Geany with the spell check library Enchant. This plugin allows the whole document, or only a selection to be checked for spelling mistakes. Misspelt words are highlighted with a red squiggly underline, and wrong words are printed in Geany's messages window along with available suggestions. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-tableconvert Description-md5: 36b9ae15e3fd1a2cf2321cf7bb74e3bd Description-en: table convert plugin for Geany Tableconvert is a plugin which helps on converting a tabulator separated selection into a table. Currently the plugin supports: * HTML * LaTeX * SQL . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-treebrowser Description-md5: 01b37f1d864a44fa1a800649c0a75d12 Description-en: tree browser plugin for Geany This plugin adds a tree browser to Geany, allowing the user to browse files using a tree view of the directory being browsed. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-updatechecker Description-md5: 37b8994011f31812671b272b6c22b896 Description-en: update checker plugin for Geany This plugin adds an update checker plugin to Geany, allowing users to check whether there is a more recent version of Geany available. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-vc Description-md5: 5deed53a61c1c7be3d1da6d6d15f6479 Description-en: VCS plugin for Geany GeanyVC is a plugin for Geany that provides a uniform way of accessing the different version-control systems inside the Geany IDE. Only a small subset of vc operations are implemented, which are: * diff * log * status * revert * commit . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-vimode Description-md5: 7e8aaec7b44805404be0d56f6d8296d6 Description-en: Vim-mode plugin for Geany The vimode plugin is a Vim-mode plugin for Geany. . Vim is another UNIX editor. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-webhelper Description-md5: 14bf6a99c7f5fd901d7c119f099ff6cd Description-en: web helper plugin for Geany This plugin provides some web development facilities such as web page preview and some debugging tools (web inspector) for Geany. . Its prominent features include:- * A basic web view, which provides a display of any web page (using WebKit) * Automatic reloading of web view upon document saving * A web inspector/debugging tool for the web view's content (including a Javascript console, a viewer and editor of processed HTML and CSS, a network usage analysis tool and many more, thanks to WebKit) . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-workbench Description-md5: 1f99cb169d6250a9ea85bd519e783c45 Description-en: workbench plugin for Geany The Workbench plugin is an extension that makes it possible to manage multiple projects in geany. You can add geany projects to a workbench. From there you can add directories to the project to manage the files belonging to the project. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-xmlsnippets Description-md5: 6fa9e233ed59b6513856a160496e3ceb Description-en: XMLSnippets plugin for Geany This plugin extends XML/HTML tag autocompletion provided by Geany. It automatically inserts a matching snippet after you type an opening tag. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugins Description-md5: 175bac6ab6c326ef6021cb24f34557dc Description-en: set of plugins for Geany This is a metapackage which installs the whole set of plugins for Geany. . Geany is a fast and lightweight IDE. Package: geany-plugins-common Description-md5: 20deb6c15435fd40b4d0314ace9266b6 Description-en: set of plugins for Geany (translations) This package contains translations for the Geany Plugins. You probably do not want to install this package directly, but instead install one of the other plugins packages. Package: gem Description-md5: 67f209f2756b5e6ccae0680df67002a5 Description-en: Graphics Environment for Multimedia - Pure Data library Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package contains the core library. If you want to use external data (live video capture, film footage, still images, ...), you have to install one (or all) of the gem-plugin-* packages. Package: gem-dev Description-md5: 5ab0bcf8dfffa768e5b12dfbd77ced18 Description-en: Graphics Environment for Multimedia (development files) Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides the header-files for compiling externals (plugins) for Gem. Package: gem-doc Description-md5: 248ed9cd67f988465b5dcb4ec39d2ed2 Description-en: Graphics Environment for Multimedia (documentation) Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package includes the documentation for Gem. Package: gem-extra Description-md5: 2631f815a487aef7b6c13ef2b35a036e Description-en: Graphics Environment for Multimedia - extra objects Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package includes some contrib objects, mainly for tracking. Currently included objects are: [pix_mano], [pix_drum] tracking objects by Jaime Oliver [pix_fiducialtrack] reacTIVision-like tracking Package: gem-plugin-assimp Description-md5: 2cb4bbe47baa9de2d99c93a0076d2364 Description-en: Graphics Environment for Multimedia - Assimp support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides model loading using Assimp. Package: gem-plugin-dc1394 Description-md5: 809dc083b48443c2e7d4a359028f2079 Description-en: Graphics Environment for Multimedia - DC1394 support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using dc1394, allowing you to capture from your industry grade ("firewire") IIDC camera Package: gem-plugin-dv4l Description-md5: 81bd7aee18117ac1df26dd1c06677c27 Description-en: Graphics Environment for Multimedia - DV support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using libdv, allowing you to capture from your consumer-grade ("firewire") DV-camcorder. Package: gem-plugin-glfw3 Description-md5: 296e5a75f026e50e77378a73af441788 Description-en: Graphics Environment for Multimedia - GLFW3 support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides windowing using GLFW3. Package: gem-plugin-glut Description-md5: e8faf0863e69bf91d6bf67f2f38d8ae9 Description-en: Graphics Environment for Multimedia - GLUT support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides windowing using GLUT. Package: gem-plugin-gmerlin Description-md5: 31de2c9ee3a78c8693acb7c1dd7bd311 Description-en: Graphics Environment for Multimedia - GMERLIN support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie decoding using the gmerlin-avdecoder library. Package: gem-plugin-jpeg Description-md5: a8b010a22b8f65f3c61b7e7964ddaaec Description-en: Graphics Environment for Multimedia - JPEG support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the libjpeg library. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write jpeg images. Package: gem-plugin-lqt Description-md5: e359a47ef863114275ed0c9ea6a6bf13 Description-en: Graphics Environment for Multimedia - LQT support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie input and output using the libquicktime library. Package: gem-plugin-magick Description-md5: ecb07097215819f8f9fda73c550fc7e7 Description-en: Graphics Environment for Multimedia - ImageMagick support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the ImageMagick library. Package: gem-plugin-mpeg3 Description-md5: 0d15eda23f4928acdc86a98efab54d5b Description-en: Graphics Environment for Multimedia - MPEG support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie decoding using the libmpeg3 library (allowing you to read MPEG-1 and MPEG-2 video files). . NOTE: the gem-plugin-gmerlin package allows you to read those files as well in a more consistent/stable manner. Package: gem-plugin-sdl Description-md5: 410ac0e175eb69ebb8c893046a2a7382 Description-en: Graphics Environment for Multimedia - SDL support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides windowing using SDL. Package: gem-plugin-sgi Description-md5: 3e254b814bb5b05693a2f799ce2a40f9 Description-en: Graphics Environment for Multimedia - SGI support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image loading for SGI images. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write(!) SGI images. Package: gem-plugin-tiff Description-md5: 18bb323199c2240ce61a8dc55898c962 Description-en: Graphics Environment for Multimedia - TIFF support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the libtiff library. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write TIFF images. Package: gem-plugin-unicap Description-md5: dbdad23ef8e4c9616421ac0e4ea6e11d Description-en: Graphics Environment for Multimedia - unicap support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using unicap. Package: gem-plugin-v4l2 Description-md5: 8ad179c790ef5e00d4fa8f9e8c835e97 Description-en: Graphics Environment for Multimedia - V4L2 output support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video input and output using V4L2. . For video output, you might want to use a loopback device, for which you will have to install the v4l2loopback kernel module as well. Package: gem-plugin-vlc Description-md5: 4233421cf30151e84c790c138f1d3b41 Description-en: Graphics Environment for Multimedia - VLC support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using the VideoLAN-Client engine Package: gem-plugin-vnc Description-md5: 4716f77b68ca2ab345c877a4603c759a Description-en: Graphics Environment for Multimedia - VNC support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using vncserver Package: genwqe-tools Description-md5: 2df62bffda3a05a5d04ac79b7e33d99c Description-en: utilities for accelerated libz implementation Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides utilities to use libzADC, as well as gzip/gunzip names for genwqe utilities in a subdirectory not in $PATH. Package: geophar Description-md5: c3acc8ca9907ae13522455d6c16ff829 Description-en: Swiss army knife for the math teacher this application contains every tool you would like to find when preparing math courses, exercises or their keys. Features: - symbolic calculus - tables of variations, LaTeX syntax - dynamic geometry - probability trees - graphs - function plotting - histograms, etc. Package: getdp Description-md5: d37be89327ef07aeaf1bd960a9d14b69 Description-en: general environment for the treatment of discrete problems GetDP is a general finite element solver using mixed elements to discretize de Rham-type complexes in one, two and three dimensions. . The main feature of GetDP is the closeness between the input data defining discrete problems (written by the user in ASCII data files) and the symbolic mathematical expressions of these problems. . See GetDP's reference manual for a more thorough overview of GetDP's capabilities: http://www.geuz.org/getdp Package: getdp-sparskit Description-md5: c238adac56d31689c87d19ae4f434b5b Description-en: general environment for the treatment of discrete problems GetDP is a general finite element solver using mixed elements to discretize de Rham-type complexes in one, two and three dimensions. . The main feature of GetDP is the closeness between the input data defining discrete problems (written by the user in ASCII data files) and the symbolic mathematical expressions of these problems. . See GetDP's reference manual for a more thorough overview of GetDP's capabilities: http://www.geuz.org/getdp . Getdp is compiled with SPARSKIT support Package: gfortran-mingw-w64 Description-md5: 226bdce350b0262f3c77b87c36299c88 Description-en: GNU Fortran compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gfortran-mingw-w64-i686 Description-md5: 8514229bdbe4d596f03ba9790bbcfd2a Description-en: GNU Fortran compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gfortran-mingw-w64-i686-posix Description-md5: 8a0592c2734bdbf3cad2e63b33589d7c Description-en: GNU Fortran compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gfortran-mingw-w64-i686-win32 Description-md5: 189f58f8edc956cf8be003dc5d75ed39 Description-en: GNU Fortran compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gfortran-mingw-w64-x86-64 Description-md5: f81944970a0452452936b0dfcfe0605b Description-en: GNU Fortran compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gfortran-mingw-w64-x86-64-posix Description-md5: 416377ec0777856235543e1a2b85afce Description-en: GNU Fortran compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gfortran-mingw-w64-x86-64-win32 Description-md5: 8d5bee720a90108f09b45c667c3c492e Description-en: GNU Fortran compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: ghc Description-md5: 93851f98fb540e0c6b50dea771644cc6 Description-en: The Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found at https://www.haskell.org/documentation/. Package: ghc-doc Description-md5: 56e5b17abadd2479eae6e9df8413c5e3 Description-en: Documentation for the Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found at https://www.haskell.org/documentation/. . This package includes HTML, DVI and PS versions of the SGML-based documentation around GHC. Package: ghc-prof Description-md5: d48b7e12e5d7a91cd32e2372820fb9e9 Description-en: Profiling libraries for the Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found at https://www.haskell.org/documentation/. . This package contains additional profiling libraries. They are only needed, if you want to take a closer look on where exactly your program burns CPU cycles. Package: ghdl Description-md5: 898aa78eff5e5684d36c1b7162717375 Description-en: VHDL compiler/simulator GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations. . Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl. . This package is a dependency package that will make sure at least one backend is installed. Package: ghdl-common Description-md5: b290124fad2a6e7a33b72c9925247d56 Description-en: VHDL compiler/simulator (common files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains common files for the GHDL compiler packages. Package: ghdl-gcc Description-md5: ec1284d70cac706d2656e20b43505771 Description-en: VHDL compiler/simulator (GCC backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the GCC backend. Package: ghdl-llvm Description-md5: d7156d37c756669e593cfa7d02043035 Description-en: VHDL compiler/simulator (LLVM backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the LLVM backend. Package: ghdl-mcode Description-md5: a43d648f2765b04ca5f3eada73656aac Description-en: VHDL compiler/simulator (mcode backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the mcode backend. Package: ghdl-tools Description-md5: be3177ca14cf04b7594ec1f43e04a999 Description-en: VHDL compiler/simulator (tools) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains ghwdump, a simple command line tool to extract information from GHW files produced by GHDL and display it as text. It is mainly intended for use in debugging and is not needed for regular use of GHDL, where a wavefile visualization tool like gtkwave would normally be used. Package: ginkgo Description-md5: 946f901aa5a106ee3776df7ac04d0bed Description-en: BDD Testing Framework for Go Ginkgo is a BDD-style Golang testing framework built to help you efficiently write expressive and comprehensive tests. It is best paired with the Gomega matcher library but is designed to be matcher-agnostic. Package: gir1.2-ags-7.0 Description-md5: 7a22cc2d9aef49daaa1ecb967d4f7141 Description-en: Advanced Gtk+ Sequencer core library -- gir bindings libags is a general purpose application context library built upon GObject providing persistence and configuration. It allows you to organize threads in a tree hierarchy that is synced 1000 times per second per default. Since it is written in a object orientated manner you might want to override its default behaviour. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-agsaudio-7.0 Description-md5: 229d5c2142fad03fe8eed0dbf64805d8 Description-en: Advanced Gtk+ Sequencer audio processing engine library -- gir bindings libags_audio is a tree based audio processing library built upon GObject and libags. It allows you to do audio processing supporting LADSPA, DSSI and Lv2 plugin support. Audio data is processed multi-threaded. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-agsgui-7.0 Description-md5: f3ab51719cd46a939407e76410b07817 Description-en: Advanced Gtk+ Sequencer widget library -- gir bindings libags_gui is a widget library built upon Gtk+-4.0. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-ggit-1.0 Description-md5: c1d6744b5adc64ebcfb3df54958e6052 Description-en: GObject introspection data for the git2-glib-1.0 library This package contains introspection data for the git2-glib-1.0 library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-govirt-1.0 Description-md5: 53038ce1b062fd08c562b83d19f572b2 Description-en: GObject introspection data for libgovirt libgovirt is a library that allows applications to use oVirt REST API to list VMs managed by an oVirt instance, and to get the connection parameters needed to make a SPICE/VNC connection to them. . This package contains introspection data for the libgovirt library. Package: gir1.2-vips-8.0 Description-md5: 6a5a50d6b91f7bd84add8c9d061eb07d Description-en: GObject introspection data for VIPS VIPS is an image processing system designed with efficiency in mind. It is good with large images (ones that larger than the amount of RAM in your machine), and for working with colour. It can perform many image manipulation tasks much faster than other packages such as ImageMagick and the GIMP and includes some special features such as creating single "mosaic" images from multiple parts. . VIPS consists of two main components: an image processing library with some command-line tools and a spreadsheet-like graphical user interface. The graphical interface is available in the nip2 package. . This package provides the introspection data for VIPS library. Package: gir1.2-zbar-1.0 Description-md5: 15303040a9b872266ed0d2962a456d23 Description-en: QR code / bar code scanner and decoder (introspection data) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the GObject introspection data which are needed for developing the zbar library in various programming languages with GObject introspection support. Package: git-absorb Description-md5: 3d59826d3904b54c9142fbc56d9baa7f Description-en: Git commit --fixup, but automatic git-absorb is a port of hg absorb to Git. . git absorb automatically identifies which commits are safe to modify, and which indexed changes belong to each of those commits. It then writes fixup! commits for each of those changes. These fixups can later be folded into the feature branch with Git's built-in autosquash functionality. Package: git-all Description-md5: 7eb276543374432338c2d9bf7a76e9b6 Description-en: fast, scalable, distributed revision control system (all subpackages) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This is a dummy package which brings in all subpackages. Package: git-cvs Description-md5: 5c201c3115375a8b8f735f8e7775526c Description-en: fast, scalable, distributed revision control system (cvs interoperability) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git cvsimport, cvsexportcommit, and cvsserver tools, which allow Git to read from and write to CVS repositories and offer access over CVS protocol to Git repositories. . The git cvsimport tool can incrementally import from a repository that is being actively developed and only requires remote access over CVS protocol. Unfortunately, in many situations the import leads to incorrect results. For reliable, one-shot imports, cvs2git from the cvs2svn package or parsecvs may be a better fit. Package: git-daemon-run Description-md5: f22f55ff44557b91f4d1ad32ba7df1be Description-en: fast, scalable, distributed revision control system (git-daemon service) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . git-daemon, as provided by the git package, is a simple server for git repositories, ideally suited for read-only updates, i.e. pulling from git repositories through the network. This package provides a runit service for running git-daemon permanently. This configuration is simpler and more reliable than git-daemon-sysvinit, at a cost of being less familiar for administrators accustomed to sysvinit. Package: git-daemon-sysvinit Description-md5: b9035bef841508be61c9ba878690987d Description-en: fast, scalable, distributed revision control system (git-daemon service) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . git-daemon, as provided by the git package, is a simple server for git repositories, ideally suited for read-only updates, i.e. pulling from git repositories through the network. This package provides a sysvinit service for running git-daemon permanently. Unlike git-daemon-run, this package provides the usual sysvinit service management commands ("service git-daemon start/stop") for git-daemon. Package: git-delta Description-md5: 5321a4c9ad3ebbcc7fa824cddf867acf Description-en: syntax-highlighting pager for git, diff, and grep output Code evolves, and we all spend time studying diffs. Delta aims to make this both efficient and enjoyable: it allows you to make extensive changes to the layout and styling of diffs, as well as allowing you to stay arbitrarily close to the default git/diff output. . This package provides the executable binary /usr/bin/delta. . (Unrelated executable binary /usr/bin/git-delta is in the package git-extras, and unrelated executable binaries /usr/bin/multidelta and /usr/bin/singledelta are in the package delta.) Package: git-email Description-md5: 5e0c38a8609078b06fbbe0e8e9e432b4 Description-en: fast, scalable, distributed revision control system (email add-on) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git-send-email program for sending series of patch emails. Package: git-evtag Description-md5: 1aa17f64c2b040e6e3b399439307f32e Description-en: extended verification for git tags git-evtag can be used as a replacement for git-tag -s. It will generate a strong checksum (called Git-EVTag-v0-SHA512) over the commit, tree, and blobs it references (and recursively over submodules). Package: git-evtag-tests Description-md5: 7a31aa63895d1ef2cc69601f0430125c Description-en: extended verification for git tags - as-installed tests git-evtag can be used as a replacement for git-tag -s. It will generate a strong checksum (called Git-EVTag-v0-SHA512) over the commit, tree, and blobs it references (and recursively over submodules). . This package contains "as-installed" automated tests, typically used via gnome-desktop-testing-runner or autopkgtest. Package: git-gui Description-md5: 699969d903b4df59976ab85a9e250838 Description-en: fast, scalable, distributed revision control system (GUI) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git graphical user interface. . If aspell is installed, it can check the spelling of commit messages as the user types. . If meld is installed, it can be used for displaying diffs and for interactive merge conflict resolution. Package: git-mediawiki Description-md5: 68f824126adee99ee64bf2b96e49bb2c Description-en: fast, scalable, distributed revision control system (MediaWiki remote helper) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the mediawiki remote helper, which allows Git to read from and write to a wiki such as Wikipedia as though it were a remote Git repository, and a 'git mw' command that can show a preview of how wiki markup will be rendered before pushing. Package: git-svn Description-md5: fe9279673cf6573640700aabf410ef25 Description-en: fast, scalable, distributed revision control system (svn interoperability) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides tools for interoperating with Subversion repositories, and importing SVN development history. Package: gitit Description-md5: b0ae5c318d5dc466c0476d49f57d2813 Description-en: Wiki engine backed by a git or darcs filestore Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS's command-line tools or through the wiki's web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. Package: gitk Description-md5: 09d5ab78b8aa2f432bbf841a62bb9abc Description-en: fast, scalable, distributed revision control system (revision tree visualizer) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the gitk program, a tcl/tk revision tree visualizer. Package: gitweb Description-md5: 2140d89702ec1cd621b41c71a95a910a Description-en: fast, scalable, distributed revision control system (web interface) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package configures a web interface for browsing git repositories. . If apache2 is installed, the web interface is automatically made available at http://localhost/gitweb. Other servers that support CGI or mod_perl are supported through manual configuration. . If libcgi-fast-perl is installed, gitweb can also be run over FastCGI (and served by nginx, for example). Package: gmsh Description-md5: ec9c5a42a6097cc4bf803c56d0463756 Description-en: Three-dimensional finite element mesh generator Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. Package: gmsh-doc Description-md5: ffe6fece0443158074b37b450e33efdb Description-en: Three-dimensional finite element mesh generator documentation Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. . The package contains documentation and examples. Package: gnat-mingw-w64 Description-md5: 1562dadaf2bdac519bb9c18b81e84dc7 Description-en: GNU Ada compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gnat-mingw-w64-i686 Description-md5: ebdcd25cfbe0ca4c6add299e73634239 Description-en: GNU Ada compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gnat-mingw-w64-i686-posix Description-md5: d3d4f50a6680407f291d49171d48eaf4 Description-en: GNU Ada compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gnat-mingw-w64-i686-win32 Description-md5: ca2e7efc6aa8646c992d40a727079873 Description-en: GNU Ada compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gnat-mingw-w64-x86-64 Description-md5: 0328018315680f1cb26ea975661e7c0a Description-en: GNU Ada compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gnat-mingw-w64-x86-64-posix Description-md5: c8efde02816ce5b6297ec4a53754a4c7 Description-en: GNU Ada compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gnat-mingw-w64-x86-64-win32 Description-md5: b51b502172020254cc2e28dc8bc50333 Description-en: GNU Ada compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gnome Description-md5: 984c5028c0ccad6f8854b311229d0985 Description-en: Full GNOME Desktop Environment, with extra components This is the GNOME Desktop environment, an intuitive and attractive desktop, with extra components. . This metapackage depends on the standard distribution of the GNOME desktop environment, plus a complete range of plugins and other applications integrating with GNOME and Debian, providing the best possible environment to date. Package: gnome-api-docs Description-md5: 496b00afa16f37c71dba791811e45f2a Description-en: API reference documentation for the GNOME libraries This metapackage brings all available API documentation for the libraries of the GNOME platform, as well as their important dependencies. This should be most of the documentation you need to write GNOME applications. . This documentation is best viewed within the devhelp documentation browser. Package: gnome-builder Description-md5: ab40b3eb334588b66259c6735e3f7577 Description-en: IDE for GNOME Builder is a new IDE for GNOME that is focused on bringing the power of our platform to more developers than ever before. . Builder attempts to be an IDE for writing software for GNOME. It does not try to be a generic IDE, but one specialized for GNOME application developers. Package: gnome-core Description-md5: fcab6512392d98bcda40d798ee6af273 Description-en: GNOME Desktop Environment -- essential components These are the core components of the GNOME Desktop environment, an intuitive and attractive desktop. . This metapackage depends on a basic set of programs, including a file manager, an image viewer, a web browser, a video player and other tools. . It contains the official “core” modules of the GNOME desktop. Package: gnome-devel Description-md5: 4b80f7ee218a9bd537ce4990cb1bc0fd Description-en: GNOME Desktop Environment -- development tools These are the development tools of the GNOME Desktop environment, an intuitive and attractive desktop. . This metapackage depends on a recommended set of applications to develop new programs for GNOME. Package: gnome-games Description-md5: 2443e597e8cb9da0c471d6ba50ef9a22 Description-en: games for the GNOME desktop These are the games from the official GNOME release. They have the look and feel of the GNOME desktop, but can be used without it. . Games are now split in separate packages; this metapackage brings all of them but they can be installed separately. Package: gnome-platform-devel Description-md5: 7bf26e57deae6cf1571f41b9eebefca1 Description-en: GNOME development platform These are the development components that are part of the development “platform” for the GNOME Desktop environment, an intuitive and attractive desktop. . This metapackage depends on the recommended components to develop and build applications using GNOME technologies. Most of these components have long-term API stability guarantees. Package: gnuastro Description-md5: 912d618ff36751857ede0cf72ea0dd90 Description-en: GNU Astronomy Utilities programs GNU Astronomy Utilities (Gnuastro) is a collection of libraries and programs for astronomical data analysis and manipulation. The programs can be run on the command-line for efficient and easy usage and the libraries can be used within C and C++ programs. . This package contains Gnuastro's programs. Package: gnudatalanguage Description-md5: 55d934ac72dab469015a839098d20569 Description-en: Free IDL compatible incremental compiler GNU Data Language (GDL) is a free IDL (Interactive Data Language) compatible incremental compiler. It has full syntax compatibility with IDL 7.1. IDL is a registered trademark of ITT Visual Information Solutions. From IDL 8.0, the following language elements are supported: . * FOREACH loop * negative array indices * garbage collection pointers and objects * call methods on an object using "." (e. g. `object.aMemberProcedure,arg1`) . The file input output system is fully implemented. GUI support (widgets) is officially provided, but it's not complete yet. . This package contains the main commandline and interpreter frontend. Package: gnustep Description-md5: 606c06d2bb4cf4710e41f368d083d407 Description-en: User applications for the GNUstep Environment These packages will give a fairly complete GNUstep user environment. . This is a metapackage depending on some of the important GNUstep applications. Package: gnustep-core-devel Description-md5: 5e2c73f5f3771ecfb89dca395437e8d1 Description-en: GNUstep Development Environment -- core libraries These are the packages needed to develop programs for the GNUstep environment. . This is a metapackage that depends on the GNUstep core libraries (FoundationKit and AppKit, aka GNUstep Base and GUI). Package: gnustep-core-doc Description-md5: d4d2c2b0556302d94c3e856710d2c4bd Description-en: GNUstep Development Environment -- core documentation These packages provide documentation for developing programs for the GNUstep environment. . This is a metapackage that depends on the documentation packages of the core GNUstep development environment (GNUstep Make, Base and GUI). Package: gnustep-devel Description-md5: 420c2d7aa2dd99c3903918c951c973f9 Description-en: GNUstep Development Environment -- development tools These packages provide tools for development applications for GNUstep, as well as extra libraries for the GNUstep environment. . This is a metapackage that depends on gnustep-core-devel and commonly used development applications. Package: gnustep-full Description-md5: 7baca7ac2f345a391120279470e435ba Description-en: Complete set of GNUstep packages This package depends on all available GNUstep packages: tools, applications, frameworks, libraries, documentation, etc. The only exception is SOGo and its dependencies, which are not co-installable with libgnustep-dl2-dev. The various GNUstep backends are also not available. . This is a metapackage which includes some leaf and specialized packages that most users wouldn't want to install. Package: gnustep-games Description-md5: ccb0bfaff1d7a5c398647ae23633ca76 Description-en: GNUstep based games These packages are a few games for GNUstep. . This is a metapackage that depends on (almost) all games designed for the GNUstep environment. Package: gnustep-gui-common Description-md5: e022305b133200b5baa09df6daaa6bd6 Description-en: GNUstep GUI Library - common files The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the common files needed by the GNUstep GUI library. Package: gnustep-gui-doc Description-md5: e06b30372c8f8ee847c28f14de8dbc00 Description-en: Documentation for the GNUstep GUI Library The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains text, gsdoc, PDF and HTML documentation for the GNUstep GUI Library. Package: gnustep-gui-runtime Description-md5: 29341114fdad89f562554b5ab37477d7 Description-en: GNUstep GUI Library - runtime files The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the runtime support files needed by GNUstep GUI applications. Package: gobjc++-mingw-w64 Description-md5: dc7e3abeb026ad5da4a85f91fa070a74 Description-en: GNU Objective-C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-i686 Description-md5: 0517df82def24a8915a4a2be58749172 Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-i686-posix Description-md5: 6e63256af7f3fdead5ba0305c626eac5 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc++-mingw-w64-i686-win32 Description-md5: 0696c94a708736812e65ad9a40746273 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc++-mingw-w64-x86-64 Description-md5: bb20907e50d2659494026949f23b16cc Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-x86-64-posix Description-md5: ebf58359e18f213c08d8b10411f94cf7 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc++-mingw-w64-x86-64-win32 Description-md5: 5551ceb3abf97239c8901e039dc560c8 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc-mingw-w64 Description-md5: 7fe2ecb47243cc07bea99018742991b0 Description-en: GNU Objective-C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gobjc-mingw-w64-i686 Description-md5: 172fe07dd1538747126285978c1061e8 Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc-mingw-w64-i686-posix Description-md5: 3be549903ba16400e761d50360f234a9 Description-en: GNU Objective-C compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc-mingw-w64-i686-win32 Description-md5: f53953ed988e77ca04a5e9a57d390e32 Description-en: GNU Objective-C compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc-mingw-w64-x86-64 Description-md5: 11ea58d29c4f5d92c7dc744cf90b0af6 Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobjc-mingw-w64-x86-64-posix Description-md5: 9f75b5920ef296d65897332a582bfac6 Description-en: GNU Objective-C compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc-mingw-w64-x86-64-win32 Description-md5: 4ea5963c05fed1a60c996954e71f2d0a Description-en: GNU Objective-C compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: golang-github-checkpoint-restore-go-criu-dev Description-md5: 86e3fd7404b98b8e4c75fe9297e301e3 Description-en: CRIU bindings for Golang Golang bindings for CRIU. The code is based on the Golang-based PHaul implementation from the CRIU repository. . Golang bindings provide an easy way to use the CRIU RPC calls from Golang without the need to set up all the infrastructure to make the actual RPC connection to CRIU. Package: golang-github-containerd-errdefs-dev Description-md5: b38a8e9ccdc44f007533b604d6571323 Description-en: Common definition and library of errors used by containerd (library) Go code and definition wiht common errors shared across containerd and related applicaitons. Package: golang-github-containers-psgo-dev Description-md5: 7df906bc4f66ec10f8ff3f00abbc0491 Description-en: ps(1) AIX-format compatible Golang library Psgo is a ps(1) AIX-format compatible golang library extended with various descriptors useful for displaying container-related data. . The idea behind the library is to provide an easy to use way of extracting process-related data, just as ps(1) does. The problem when using ps(1) is that the ps format strings split columns with whitespaces, making the output nearly impossible to parse. Using fork and execute ps also adds some jitter, either in the container or filter the output afterwards, further limiting applicability. . This library aims to make things a bit more comfortable, especially for container runtimes, as the API allows one to join the mount namespace of a given process and will parse /proc and /dev/ from there. Package: golang-github-containers-storage-dev Description-md5: 33c19defe0f75a3ad4a64ce898778b1f Description-en: Go library for handling how containers are stored on disk storage is a Go library which aims to provide methods for storing filesystem layers, container images, and containers. A containers-storage CLI wrapper is also included for manual and scripting use. . This package contains the library. Package: golang-github-docker-distribution-dev Description-md5: 67866d98c933b00cec3bb20a22e40b40 Description-en: Docker toolset to pack, ship, store, and deliver content (source) The Docker toolset to pack, ship, store, and deliver content. . The Docker Registry 2.0 implementation contained in this package is for storing and distributing Docker images. It supersedes the docker/docker-registry project with a new API design, focused around security and performance. . This project should provide an implementation to a V2 API for use in the Docker core project. The API should be embeddable and simplify the process of securely pulling and pushing content from docker daemons. . The new registry implementation provides the following benefits: . * faster push and pull * new, more efficient implementation * simplified deployment * pluggable storage backend * webhook notifications . This package contains the source. Package: golang-github-onsi-ginkgo-v2-dev Description-md5: c171d94d1dde96176dd8594210e6bedd Description-en: BDD Testing Framework for Go (development files) Ginkgo is a BDD-style Golang testing framework built to help you efficiently write expressive and comprehensive tests. It is best paired with the Gomega matcher library but is designed to be matcher-agnostic. . This package contains the development files. Package: golang-github-openshift-imagebuilder-dev Description-md5: 24dd02358d6e43f7e098dba8eca47934 Description-en: Builds container images using Dockerfile as input This library supports using the Dockerfile syntax to build OCI & Docker compatible images, without invoking a container build command such as buildah bud or docker build. It is intended to give clients more control over how they build container images, including: - Instead of building one layer per line, run all instructions in the same container - Set HostConfig settings like network and memory controls that are not available when running container builds - Mount external files into the build that are not persisted as part of the final image (i.e. "secrets") - If there are no RUN commands in the Dockerfile, the container is created and committed, but never started. The final image should be 99.9% compatible with regular container builds, but bugs are always possible. . This package provides sources that other package may require for building. Package: golang-github-snapcore-snapd-dev Description-md5: a2d3a19f6630f9476511151dac13666a Description-en: snappy development go packages. Use these to use the snappy API. Package: golang-github-sylabs-sif-dev Description-md5: 024794b746ffe199c6e4609f729405f8 Description-en: reference implementation of the Singularity Image Format (SIF) SIF is an implementation of the Singularity Container Image Format that makes it easy to create complete and encapsulated container enviroments stored in a single file. Package: golang-github-theupdateframework-go-tuf-dev Description-md5: 4ba8d29c66142c6288a69eb01abe08b1 Description-en: Securing software in golang (library) The Update Framework (TUF) helps developers maintain the security of software update systems, providing protection even against attackers that compromise the repository or signing keys. TUF provides a flexible framework and specification that developers can adopt into any software update system. Package: golang-github-ubuntu-core-snappy-dev Description-md5: 1f317b04f78374dba7d1e14def0b7f80 Description-en: transitional dummy package This is a transitional dummy package. It can safely be removed. Package: golang-golang-x-net-dev Description-md5: 0141b003411a3ab7b2a442b2a1d6c9ab Description-en: Supplementary Go networking libraries Supplementary Go networking libraries (golang.org/x/net) not included in the main distribution: . - context: Package context defines the Context type, which carries deadlines, cancellation signals, and other request-scoped values across API boundaries and between processes. - dict: Package dict implements the Dictionary Server Protocol as defined in RFC 2229. - html: Package html implements an HTML5-compliant tokenizer and parser. - html/atom: Package atom provides integer codes (also known as atoms) for a fixed set of frequently occurring HTML strings: tag names and attribute keys such as "p" and "id". - html/charset: Package charset provides common text encodings for HTML documents. - icmp: Package icmp provides basic functions for the manipulation of messages used in the Internet Control Message Protocols, ICMPv4 and ICMPv6. - idna: Package idna implements IDNA2008 (Internationalized Domain Names for Applications), defined in RFC 5890, RFC 5891, RFC 5892, RFC 5893 and RFC 5894. - internal/iana: Package iana provides protocol number resources managed by the Internet Assigned Numbers Authority (IANA). - internal/nettest: Package nettest provides utilities for IP testing. - ipv4: Package ipv4 implements IP-level socket options for the Internet Protocol version 4. - ipv6: Package ipv6 implements IP-level socket options for the Internet Protocol version 6. - netutil: Package netutil provides network utility functions, complementing the more common ones in the net package. - proxy: Package proxy provides support for a variety of protocols to proxy network data. - publicsuffix: Package publicsuffix provides a public suffix list based on data from http://publicsuffix.org/. - webdav: Package webdav. - websocket: Package websocket implements a client and server for the WebSocket protocol as specified in RFC 6455. Package: golang-gomega-dev Description-md5: 2ccbf34bedd966536138c969b979c50a Description-en: Matcher/assertion library for the Go programming language Gomega is a matcher/assertion library. It is best paired with the Ginkgo BDD test framework, but can be adapted for use in other contexts too. Package: gourmand Description-md5: f1993cd7e57731540e2e71e07286e4b4 Description-en: Recipe organizer and shopping list generator Gourmet Recipe Manager is a gtk-based application to store, organize and search recipes. . Features: * Makes it easy to create shopping lists from recipes. * Imports recipes from a number of sources, including MealMaster and MasterCook archives and several popular websites. * Exports recipes as PDF files, plain text, MealMaster files, HTML web pages, and a custom XML format for exchange with other Gourmet users. * Supports linking images with recipes. * Can calculate nutritional information for recipes based on the ingredients. Package: gourmet Description-md5: be6a169e83fb5a14cbdf34432b3aaacd Description-en: transitionnal package to install gourmand This is a transitional dummy package, it can be safely removed. Package: gpaw Description-md5: 299c52e61efe392985b4be165a33dfb5 Description-en: DFT and beyond within the projector-augmented wave method A density-functional theory (DFT) Python code based on the projector-augmented wave (PAW) method and the atomic simulation environment (ASE). It uses real-space uniform grids and multigrid methods, atom-centered basis-functions or plane-waves. Package: grcov Description-md5: 51f6e1d2c2c4d056a30132817a299058 Description-en: Collects and aggregates code coverage information for multiple source files This package contains the following binaries built from the Rust crate "grcov": - grcov Package: gretl Description-md5: 794e28c78e36c7b7cd146f72657cb173 Description-en: GNU Regression, Econometric & Time-Series Library The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the GTK+ client and the command-line client. Package: gretl-common Description-md5: ff96d7a4a8ecc0d9dc4d3a5fc7a9276e Description-en: GNU Regression, Econometric & Time-Series Library -- scripts package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides scripts (included in the gretl sources) which are used by the main gretl program. Package: gretl-data Description-md5: ca39f18d7838200b73a31c129d1a7c2e Description-en: GNU Regression, Econometric & Time-Series Library -- data package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides data sets (included in the gretl sources) which are used by the main gretl program. Package: gretl-doc Description-md5: 12446f8f1d87b3da9e7f7f2362aac9e3 Description-en: GNU Regression, Econometric & Time-Series Library -- doc package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides documentation and examples included in the gretl sources. Package: gromacs Description-md5: b2bae23de6e584a47799dd4899a2c30a Description-en: Molecular dynamics simulator, with building and analysis tools GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains variants both for execution on a single machine, and using the MPI interface across multiple machines. Package: gromacs-data Description-md5: 03ddef80c5d959a0289c77ec8b295eee Description-en: GROMACS molecular dynamics sim, data and documentation GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains architecture-independent topology and force field data, documentation, man pages, and example files. Package: gsequencer Description-md5: 310197ea8f2817bdb533a2894bcace02 Description-en: Advanced Gtk+ Sequencer audio processing engine Advanced GTK+ Sequencer is intended to be used for music composition. It features a piano roll, as well as a synth, matrix editor, drum machine, soundfont2 player, mixer and an output panel. It’s designed to be highly configurable. You may add effects to its effect chain; and add or remove audio channels/pads. You may set up a fully functional network of engines, thus there is a link editor for linking audio lines. Package: gsequencer-data Description-md5: 675131431a64c4ef2418f20d83d832cd Description-en: Data files for Advanced Gtk+ Sequencer Advanced GTK+ Sequencer is intended to be used for music composition. . This package contains architecture-independent supporting data files for use with GSequencer, such as l10n support files. Package: gsequencer-doc Description-md5: 44b24877ea9b7da9520f8876f8e9db61 Description-en: Documentation for Advanced Gtk+ Sequencer Advanced GTK+ Sequencer is intended to be used for music composition. . This package contains the user manual that explains the GUI in html format of Advanced Gtk+ Sequencer. Package: gshutdown Description-md5: ee97b4df0e39068e484578e7e1eb9f2d Description-en: Graphical shutdown utility and shutdown timer GShutdown is a utility which allows you to schedule the shutdown or restart of your computer. With it you can simply and quickly choose the turn off time at either a specific time or after a countdown. . The graphical user interface uses Gtk+2. Package: gudhi-utils Description-md5: b51bf5afa1111fae25d9c7961897131d Description-en: Utilities from the GUDHI library The GUDHI library is a generic open source C++ library for Topological Data Analysis (TDA) and Higher Dimensional Geometry Understanding. The library offers state-of-the-art data structures and algorithms to construct simplicial complexes and compute persistent homology. . This package contains some utilities built with the GUDHI library. Package: gvm Description-md5: 41cd0d79cdaa9ef0f2fc423820d6e7a6 Description-en: remote network security auditor - metapackage and useful scripts The Greenbone Vulnerability Manager is a modular security auditing tool, used for testing remote systems for vulnerabilities that should be fixed. . This package installs all the required packages. It provides scripts to setup, start and stop the GVM services. . The tool was previously named OpenVAS. Package: gvmd Description-md5: 3dabceb14404010094ce4ae9c26f8721 Description-en: Manager Module of Greenbone Vulnerability Manager The Greenbone Vulnerability Manager is the central management service between security scanners and the user clients. . It manages the storage of any vulnerability management configurations and of the scan results. Access to data, control commands and workflows is offered via the XML-based Greenbone Management Protocol (GMP). The primary scanner, openVAS Scanner is controlled directly via protocol OTP while any other remote scanner is coupled with the Open Scanner Protocol (OSP). . This package contains the gvmd files architecture dependent. Package: gvmd-common Description-md5: c8a2e40704322161eec533bf1dadb3de Description-en: architecture independent files for Greenbone Vulnerability Manager The Greenbone Vulnerability Manager is the central management service between security scanners and the user clients. . It manages the storage of any vulnerability management configurations and of the scan results. Access to data, control commands and workflows is offered via the XML-based Greenbone Management Protocol (GMP). The primary scanner, openVAS Scanner is controlled directly via protocol OTP while any other remote scanner is coupled with the Open Scanner Protocol (OSP). . This package contains the common gvmd files shared by all architectures. Package: gyoto Description-md5: 42f3f41a98855d4c5ad2107ebb2e316d Description-en: General relativistic geodesic integration and ray-tracing Gyoto is a framework for computing geodesics in curved space-times. The gyoto utility program uses this framework to compute images of astronomical objects in the vicinity of compact objects (e.g. black-holes). Such images are distorted by strong gravitational lensing. The gyoto program takes a scenery description in XML format, computes this scenery using relativistic ray-tracing, and saves the result in FITS format. . This metapackage depends on all the Gyoto interfaces (command-line, Python 3 and Yorick). . MPI parallelization requires the mpi-default-bin package. The Gyoto documentation is provided in the gyoto-doc package. Package: gyoto-bin Description-md5: 4acd7d528f858fbaf752c4cdc2011031 Description-en: General relativistic ray-tracing command-line interface Gyoto is a framework for computing geodesics in curved space-times. The gyoto utility program uses this framework to compute images of astronomical objects in the vicinity of compact objects (e.g. black-holes). Such images are distorted by strong gravitational lensing. The gyoto program takes a scenery description in XML format, computes this scenery using relativistic ray-tracing, and saves the result in FITS format. . This package contains the `gyoto' command-line utility. More complex uses of the Gyoto framework can be achieved using the python3-gyoto or yorick-gyoto packages. Gyoto can be extended with plug-ins (see libgyoto9-dev). . MPI parallelization requires the mpi-default-bin package. Producing videos requires the python3-gyoto and python3-opencv packages. Package: gyoto-doc Description-md5: b6a061c3492629ca6779320a8822478c Description-en: documentation for the Gyoto library Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. It consists in a library (libgyoto), utility programs, and a plug-in for the Yorick programming language. . This package contains the Gyoto Reference Manual. Package: haskell-clash-ghc-utils Description-md5: 7b9ff2bcbc8416108aa6ff30110e45fb Description-en: Clash: functional hardware descr. language - GHC frontend Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: Package: haskell-clash-lib-utils Description-md5: 411f699ae77a273461f62d51b2cf1d6b Description-en: Functional hardware description language - library Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * The CoreHW internal language: SystemF + Letrec + Case-decomposition . * The normalisation process that brings CoreHW in a normal form that can be converted to a netlist . * Blackbox/Primitive Handling . Front-ends (for: parsing, typecheck, etc.) are provided by separate packages: . * . * . Prelude library: Package: haskell-dbus-hslogger-utils Description-md5: cd6b7eb25b26ae1d100bd2ed60a4a7cf Description-en: expose a dbus server to control hslogger dbus-hslogger exports: setLogLevel, setLogLevelMethodCall, maybeToEither, setLogLevelFromPriorityString, setLogLevel, logInterface, logPath, startLogServer, and logIntrospectionInterface. Package: haskell-debian-utils Description-md5: a3d1346732d013adf53617ab02c4fd9b Description-en: Various helpers to work with Debian packages This package contains tools shipped with the Haskell library “debian”: . * fakechanges: Sometimes you have the .debs, .dsc, .tar.gz, .diff.gz, etc from a package build, but not the .changes file. This package lets you create a fake .changes file in case you need one. . * debian-report: Analyze Debian repositories and generate reports about their contents and relations. For example, a list of all packages in a distribution that are trumped by another distribution. . * apt-get-build-depends: Tool which will parse the Build-Depends{-Indep} lines from debian/control and apt-get install the required packages Package: haskell-misfortune Description-md5: 0f76feec53af6b1d8f0a69e27cd10360 Description-en: fortune-mod clone fortune-mod clone, in library and executable form. Package: haskell-sdl2-mixer-utils Description-md5: 29e29b7f686aeb3364a464dbac781c91 Description-en: Haskell bindings to SDL2_mixer This package contains bindings to the SDL2_mixer library, in both high- and low-level forms: . The SDL namespace contains high-level bindings, where enumerations are split into sum types, and automatic error-checking is performed. . The SDL.Raw namespace contains an almost 1-1 translation of the C API into Haskell FFI calls. As such, this does not contain sum types nor error checking. Thus this namespace is suitable for building your own abstraction over SDL, but is not recommended for day-to-day programming. Package: haskell-status-notifier-item-utils Description-md5: 654e604c35394e348051971b317b3ba4 Description-en: StatusNotifierItem/libappindicator dbus implementation A Haskell implementation of the StatusNotifierItem protocol (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/). Package: hdav Description-md5: fabd0869748c9161ccb1869a067e0201 Description-en: command-line WebDAV client hdav currently only supports copying a file and associated WebDAV properties from one URL to another. Package: hdf5-plugin-lzf Description-md5: e97187efff273df88e1d567ee845aba6 Description-en: hdf5 plugin to lzf compression library HDF5 (Hierarchical Data Format library, version 5) is a versatile, mature scientific software library designed for the fast, flexible storage of enormous amounts of data. . This package provides a plugin to the HDF5 LZF filter for the LZF compression library. Plugins are built for both serial (single processor) jobs (libhdf5-dev) and for multiprocessor (threaded) jobs (libhdf5-mpi-dev). Package: hledger Description-md5: 6b8a5eb2f99f3234c9f443348a3622a9 Description-en: command-line double-entry accounting program hledger is a Haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides the main hledger command-line tool; see the other hledger-* packages for web and curses interfaces and chart generation. hledger aims to be a reliable, practical financial reporting tool for day-to-day use, and also a useful library for building financial apps in haskell. Given a plain text file describing transactions, of money or any other commodity, . hledger will print the chart of accounts, account balances, or transactions you're interested in. It can also help you add transactions to the journal file, or convert CSV data from your bank. Package: hlint Description-md5: 471310f3151a54c611a86fa0e7ebdafa Description-en: Haskell source code suggestions HLint gives suggestions on how to improve your source code. It can either print them directly, or generate a colored HTML output. Package: hoogle Description-md5: 400b195870cbd4ce25cd58acc77000e0 Description-en: Haskell API Search for Debian system Hoogle is a Haskell API search engine, which allows you to search many standard Haskell libraries by either function name, or by approximate type signature. . This package contains the hoogle command. Package: hpack Description-md5: 21b488bf2ebf7b003d0ec6ef15d76927 Description-en: alternative format for Haskell packages hpack is a tool to create the usual Haskell packaging metadata, i.e. the .cabal file, from a YAML description of the program, with some fields field in by inferred defaults and other additional conveniences. Package: hprof-conv Description-md5: c3a05970679ce80e38ac5278792f80a6 Description-en: HPROF Converter The hprof-conv tool converts the HPROF file that is generated by the Android SDK tools to a standard format so you can view the file in a profiling tool of your choice. Package: htslib-test Description-md5: 97521308d09de8852db67f3bd3843152 Description-en: Test data for HTSlib HTSlib is an implementation of a unified C library for accessing common file formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call Format), used for high-throughput sequencing data, and is the core library used by samtools and bcftools. HTSlib only depends on zlib. It is known to be compatible with gcc, g++ and clang. . HTSlib implements a generalized BAM (binary SAM) index, with file extension ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the new index and then for the old if the new index is absent. . This package contains test files and scripts for the HTSlib. Package: hyphy-common Description-md5: 29fb3ecb2c129ae6357a78d4e47aa407 Description-en: Hypothesis testing using Phylogenies (common files) HyPhy is an open-source software package for the analysis of genetic sequences using techniques in phylogenetics, molecular evolution, and machine learning. It features a complete graphical user interface (GUI) and a rich scripting language for limitless customization of analyses. Additionally, HyPhy features support for parallel computing environments (via message passing interface) and it can be compiled as a shared library and called from other programming environments such as Python or R. Continued development of HyPhy is currently supported in part by an NIGMS R01 award 1R01GM093939. . This package provides files that are common to all binary versions. Package: hyphy-mpi Description-md5: bf880100fd3d0b8d321965866c6d667b Description-en: Hypothesis testing using Phylogenies (MPI version) HyPhy is an open-source software package for the analysis of genetic sequences using techniques in phylogenetics, molecular evolution, and machine learning. It features a complete graphical user interface (GUI) and a rich scripting language for limitless customization of analyses. Additionally, HyPhy features support for parallel computing environments (via message passing interface) and it can be compiled as a shared library and called from other programming environments such as Python or R. Continued development of HyPhy is currently supported in part by an NIGMS R01 award 1R01GM093939. . This package provides an executable using MPI to do multiprocessing. Package: hyphy-pt Description-md5: 27e3303e49d4d235306c006bc3c67912 Description-en: Hypothesis testing using Phylogenies (pthreads version) HyPhy is an open-source software package for the analysis of genetic sequences using techniques in phylogenetics, molecular evolution, and machine learning. It features a complete graphical user interface (GUI) and a rich scripting language for limitless customization of analyses. Additionally, HyPhy features support for parallel computing environments (via message passing interface) and it can be compiled as a shared library and called from other programming environments such as Python or R. Continued development of HyPhy is currently supported in part by an NIGMS R01 award 1R01GM093939. . This package provides an executable using pthreads to do multiprocessing. Package: ideviceactivation Description-md5: 3a635c38d14f551c7d693223a3dd5cb4 Description-en: Command line utility to manage the activation of Apple iOS devices This project provides an interface to activate and deactivate iOS devices by talking to Apple's webservice alongside a command-line utility named ideviceactivation. . This package contains a command-line utility named ideviceactivation. Package: idle Description-md5: 3ed47fd5fc9b2b81c62bf5baef25a5e8 Description-en: IDE for Python using Tkinter (default version) IDLE is an Integrated Development Environment for Python. IDLE is written using Tkinter and therefore quite platform-independent. . This package is a dependency package, which depends on Debian's default Python 3 version's IDLE (currently v3.12). Package: imagemagick Description-md5: e7c9231200f9975eff8c6caddc527dbf Description-en: image manipulation programs -- binaries ImageMagick is a software suite to create, edit, and compose bitmap images. It can read, convert and write images in a variety of formats (over 100) including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript, SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale, shear and transform images, adjust image colors, apply various special effects, or draw text, lines, polygons, ellipses and Bézier curves. All manipulations can be achieved through shell commands as well as through an X11 graphical interface (display). . This is a dependency package. . This package include links to channel depth specific binaries and manual pages. Package: imagemagick-7-common Description-md5: 242e9070bb8cf8876ae8723472cc8f76 Description-en: image manipulation programs -- infrastructure imagemagick-common contains the filesystem infrastructure required for further installation of imagemagick in any configuration; it does not provide a full installation of binaries, libraries, and utilities required to run imagemagick. . This package is independent of channel depth. Package: imagemagick-7-doc Description-md5: f514d30b27cec105434014153f1921ca Description-en: document files of ImageMagick This package contains the document files shipped with ImageMagick, a software suite to create, edit, and compose bitmap images. . Documentations includes html manuals, examples files, and doxygen generated API documentation. Package: imagemagick-7.q16 Description-md5: 47a0ebee5c88ade1b49d2f9d53ed6616 Description-en: image manipulation programs -- quantum depth Q16 ImageMagick is a software suite to create, edit, and compose bitmap images. It can read, convert and write images in a variety of formats (over 100) including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript, SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale, shear and transform images, adjust image colors, apply various special effects, or draw text, lines, polygons, ellipses and Bézier curves. All manipulations can be achieved through shell commands as well as through an X11 graphical interface (display). . For working with the SVG, WMF, OpenEXR, DjVu and Graphviz formats, you need to install the libmagickcore-7.q16-10-extra package. . This version of imagemagick is compiled for a channel depth of 16 bits (Q16). Package: imagemagick-7.q16hdri Description-md5: e00eb533b544f21627053a79f8a96ea1 Description-en: image manipulation programs -- quantum depth Q16HDRI ImageMagick is a software suite to create, edit, and compose bitmap images. It can read, convert and write images in a variety of formats (over 100) including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript, SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale, shear and transform images, adjust image colors, apply various special effects, or draw text, lines, polygons, ellipses and Bézier curves. All manipulations can be achieved through shell commands as well as through an X11 graphical interface (display). . For working with the SVG, WMF, OpenEXR, DjVu and Graphviz formats, you need to install the libmagickcore-7.q16hdri-10-extra package. . This version of imagemagick is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: indi-aagcloudwatcher-ng Description-md5: 62763d6f6c1e97450f2070541b6defa9 Description-en: INDI driver for the AAG Cloud Watcher This package contains the INDI driver for the AAG Cloud Watcher using the new INDI Weather API and with anemometer support. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-apogee Description-md5: 34f8da74ba310414dd90dd6726c340b5 Description-en: INDI driver for Apogee CCDs and Filter Wheels This package contains the INDI driver for hardware from Apogee, especially CCDs and filter wheels. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-astromechfoc Description-md5: 44cfa2e9305f569e221f0af4aea0060a Description-en: INDI driver for Astromechanics Canon Lens Controller This package contains the INDI driver for the Canon Lens Controller made by Astromechanics. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-avalon Description-md5: fb22650fd1a53ef601e3a1a816a8d1c0 Description-en: INDI driver for Avalon Instruments mounts This package contains the INDI driver for Avalon Instruments mounts with StarGO control. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-beefocus Description-md5: 05365ad813138951ccee416f53cc12a6 Description-en: INDI driver for Bee Focus This package contains an INDI driver for an open source hardware/software telescope focuser. The driver interacts with a Bee Focuser using TCP/IP. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-bin Description-md5: db0e8f43df68cc93bef3aa45ef531342 Description-en: INDI server, drivers and tools INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the INDI server, the drivers for the supported astronomical instrumentation, and other INDI tools. Package: indi-bresserexos2 Description-md5: 9bd43ac783cb4a94b0b44dc6538b4329 Description-en: INDI driver for Exos II GoTo Telescope Mount This package contains a basic driver for the Bresser Exos II GoTo telescope mount controller, allowing the connection to INDI clients. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-dreamfocuser Description-md5: 952be1fbadffebaff478a504d5806378 Description-en: INDI driver for DeamFocuser focuser This package contains an INDI driver for the focuser made by DreamFocuser. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-dsi Description-md5: ba966938e648505b1745d879f65b8484 Description-en: INDI driver for Meade DSI Pro I/II/III This package contains an INDI driver for Meade DSI Pro I/II/III cameras. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-ffmv Description-md5: 64196ed9fec954c8d270c3101c004874 Description-en: INDI driver for Point Grey Firefly MV cameras This package contains an INDI driver for Point Grey Firefly MV cameras. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-fli Description-md5: 29396c71e20f7c6e85e3ceca484fd9ed Description-en: INDI driver for FLI CCD & Focuser This package contains an INDI driver for Finger Lakes Instruments CCD and Focuser. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-gige Description-md5: ed216fd62cc245b74aaf7d521be4bd7a Description-en: INDI driver for GigE machine vision cameras This package contains an INDI driver for most GigE machine vision cameras through project Aravis. . Machine vision GigE cameras are designed towards throughput, and hence provide emphasis on high-speed video streaming. The main design goal for this driver is to use these cameras as primary imager or for guiding. Therefore, the main goal of this driver is not to provide high-speed video streams, but to control these cameras more or less as a generic CCD camera with a manual trigger and manual exposure controls. . Many of the pre-processing features found on many of these cameras have therefore been not exposed. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-limesdr Description-md5: b35a5d3d07b6d584957eed759d0933fa Description-en: INDI driver for LimeSDR (LMS7) Receiver This package contains an INDI driver for the LimeSDR (LMS7) Receiver. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-mgen Description-md5: c9d52ff79be2a55106fdd6902a00aeb7 Description-en: INDI driver for Lacerta MGen Autoguider This package contains an INDI driver for the Lacerta MGen Autoguider. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-nightscape Description-md5: 6fd41aeba8797490c2be9e1653d63999 Description-en: INDI driver for Celestron Nightscape 8300 This package contains the INDI driver for the Celestron Nightscape 8300 line of CCDs. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-shelyak Description-md5: 749c4744ae7c280f85904466758c94ba Description-en: INDI driver for Shelyak and Alpy Spectrograph This package contains the INDI driver for Shelyak and Alpy Spectrographs. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-spectracyber Description-md5: ca2b327ed5a542996098eb6e9f5d7666 Description-en: INDI driver for hydrogen line spectrometer This package contains the INDI driver for Radio Astronomy Supplies SpectraCyber hydrogen line spectrometer. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-starbook Description-md5: 6437dbf71c1d622bd55bf298697c3748 Description-en: INDI driver for Vixen Starbook telescope controllers This package contains the INDI driver for the Vixen Starbook telescope controllers. It aims for compatibility with first generation Starbook. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-starbook-ten Description-md5: a653ddafc1937a9a2d523b2a9d15028f Description-en: INDI driver for Vixen Starbook Ten telescope controllers This package contains a INDI driver for the Vixen Starbook Ten telescope controllers. It works by using an HTTP link. . This driver is compatible with any INDI client such as KStars or Xephem. Package: indi-webcam Description-md5: 2ac23957091cea82b860d168408e9ad4 Description-en: INDI Driver for web cameras This package contains a driver for FFMPEG based web cameras. . This driver is compatible with any INDI client such as KStars or Xephem. Package: iputils-clockdiff Description-md5: 9decf160dafd56034002d36fa8dc3289 Description-en: Measure the time difference between networked computers Clockdiff computes the difference between the time of the machine on which it is called and the time of other network-accessible machines. The time differences measured by clockdiff are obtained using a sequence of ICMP TSTAMP messages which are returned to the sender by the IP module in the remote machine. Package: ironic-python-agent Description-md5: 0a3f62f99ca1ec0dadaaa0dd7a0e7c69 Description-en: bare metal hypervisor API for OpenStack - Python Agent Ironic provision bare metal machines instead of virtual machines. It is a fork of the Nova Baremetal driver. It is best thought of as a bare metal hypervisor API and a set of plugins which interact with the bare metal hypervisors. By default, it will use PXE and IPMI in concert to provision and turn on/off machines, but Ironic also supports vendor-specific plugins which may implement additional functionality. . This package provides the Python agent, to be deployed on the discovery image or ramdisk. Package: iwyu Description-md5: 9a2968593adb5f99f5bf60fc4f4f341a Description-en: Analyze #includes in C and C++ source files "Include what you use" means this: for every symbol (type, function variable, or macro) that you use in foo.cc, either foo.cc or foo.h should #include a .h file that exports the declaration of that symbol. The include-what-you-use tool is a program that can be built with the clang libraries in order to analyze #includes of source files to find include-what-you-use violations, and suggest fixes for them. . The main goal of include-what-you-use is to remove superfluous #includes. It does this both by figuring out what #includes are not actually needed for this file (for both .cc and .h files), and replacing #includes with forward-declares when possible. Package: janus Description-md5: 022f61fae5f25008ff31538c0638cfb3 Description-en: general purpose WebRTC server/gateway janus is a general purpose WebRTC server/gateway with a minimal footprint. . As such, it doesn't provide any functionality per se other than implementing the means to set up a WebRTC media communication with a browser or application, exchanging JSON messages with it over different transports, and relaying RTP/RTCP and messages between clients and the server-side application logic they're attached to. Any specific feature/application is provided by server side plugins, that browsers can then contact via the server to take advantage of the functionality they provide. . Example of such plugins can be implementations of applications like echo tests, conference bridges, media recorders, SIP gateways and the like. Package: janus-demos Description-md5: fc46cfe9970d817fde5a4d4d91d9bdbc Description-en: general purpose WebRTC server/gateway - demos janus is a general purpose WebRTC server/gateway with a minimal footprint. . This package contains a set of demo html applications using Janus. . The demos require online referenced Javascript code. . GStreamer example script in package janus-tools is needed to feed one of the streams in Streaming demo. Package: janus-dev Description-md5: a43d387e9fb659100fa84874325248ed Description-en: general purpose WebRTC server/gateway - development files janus is a general purpose WebRTC server/gateway with a minimal footprint. . This package contains headers for plugin development. Package: janus-doc Description-md5: 34ebfe3e1ab34bf6420ffb9fe4447365 Description-en: general purpose WebRTC server/gateway - documentation janus is a general purpose WebRTC server/gateway with a minimal footprint. . This package contains the html documentation for Janus. Package: janus-tools Description-md5: 1057f39fa11fc64fe9effaf649942463 Description-en: general purpose WebRTC server/gateway - helper tools janus is a general purpose WebRTC server/gateway with a minimal footprint. . This package contains the following helper tools: * janus-pp-rec - extract media file from a Janus recording * pcap2mjr - convert a pcap dump to a Janus recording * mjr2pcap - convert a Janus recording to pcap format . Packages gstreamer1.0-plugins-good gstreamer1.0-x are needed for included example streaming script. Package: jmagick7-docs Description-md5: fc6321b529f5789a661cfcd30b71b433 Description-en: Java interface to ImageMagick (documentation) JMagick is an open source Java interface of ImageMagick. It is implemented in the form of Java Native Interface (JNI) into the ImageMagick API. . JMagick does not attempt to make the ImageMagick API object-oriented. It is merely a thin interface layer into the ImageMagick API. . JMagick currently only implements a subset of ImageMagick APIs. Should you require unimplemented features in JMagick, please join the mailing list and make a request. . This package contains javadoc generated documentation. Package: juce-modules-source Description-md5: e36a9946227bc21e9b3789067ad38388 Description-en: Jules' Utility Class Extensions (module sources) JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for developing cross-platform software. . It contains pretty much everything you're likely to need to create most applications, and is particularly well-suited for building highly-customised GUIs, and for handling graphics and sound. . This package installs the sources for the JUCE modules along with required development libraries, as used by 'jucer' projects. (Projucer is provided in the 'juce-tools' package). Package: juce-modules-source-data Description-md5: 3254191bdd95de7ef53161ef14e79304 Description-en: Jules' Utility Class Extensions (platform independent module sources) JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for developing cross-platform software. . This package contains the actual source files. . This package is not intended for a direct installation. Instead, you probably should install the 'juce-modules-source' package (which will force this package to be installed as well). Package: juce-tools Description-md5: dfdee637c73f97d146c7b5165c5dcba9 Description-en: JUCE's project management tools JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for developing cross-platform software. The Projucer (formerly Introjucer) is JUCE's project-management tool and secret weapon. . The Projucer's Duties: - Central management of cross-platform builds - JUCE Module Management - New Project Creation - User-Interface Design Tool - Plug-in Projects - Miscellaneous Utilities Package: jupyter-notebook Description-md5: a1f300590a1412cd831ab1ad0a2faf40 Description-en: Jupyter interactive notebook The Jupyter Notebook is a web application that allows you to create and share documents that contain live code, equations, visualizations, and explanatory text. The Notebook has support for multiple programming languages, sharing, and interactive widgets. . This package provides the jupyter subcommands "notebook", "nbextension", "serverextension" and "bundlerextension". Package: jupyter-qtconsole Description-md5: 23f416a037c1d2a42549b2ca5ce449a2 Description-en: Jupyter - Qt console (binaries) IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the qtconsole binaries for the Python 3 interpreter. Package: justbuild Description-md5: a2fab0a79e77a3ae8fe25c2929f16624 Description-en: Justbuild generic build system Justbuild is a generic build system supporting multi-repository builds. A peculiarity of the tool is the separation between global names and physical location on the one hand, and logical paths used for actions and installation on the other hand (sometimes referred to as "staging"). The language-specific information to translate high-level concepts (libraries, binaries) into individual compile action is taken from user-defined rules described by functional expressions. Package: kaccounts-integration Description-md5: 4b8a54e45cf691d02fcee0b7ecc52391 Description-en: integration for Accounts-SSO and SignOn-SSO KAccounts Integration provides a way to share accounts data such as login tokens and general user information (like usernames and such) between various applications. Package: kaccounts-providers Description-md5: 2e63efd8c278d0e0f7b3e59fd0b2c50e Description-en: KDE providers for accounts sign-on Provides additional configuration files for libaccounts and libsignon to recognize online services such as Facebook and Google. Package: kaddressbook Description-md5: 420a99435b64384b2e07fc6ed822c455 Description-en: address book and contact data manager KAddressBook enables you to manage your contacts efficiently and comfortably. It can load and save your contacts to many different locations, including the local file system, LDAP servers, and SQL databases. Package: kaddressbook-data Description-md5: 9ec91f3c1a13224b9795c5365ff641dd Description-en: address book and contact data manager - data files KAddressBook enables you to manage your contacts efficiently and comfortably. It can load and save your contacts to many different locations, including the local file system, LDAP servers, and SQL databases. . This package contains the data files. Package: kalarm Description-md5: 147e1354e4cd2bf0a956054925c7204c Description-en: alarm message, command and email scheduler KAlarm provides a graphical interface to schedule personal timed events - pop-up alarm messages, command execution and sending emails. There is a range of options for configuring recurring events. . A pop-up alarm can show either a simple text message, or the contents of a text or image file. It can optionally be spoken, or play a sound file. You can choose its appearance, and set reminders. Among KAlarm's other facilities, you can set up templates to allow KAlarm to be used as a 'tea timer'. Package: kalendarac Description-md5: d3ff638deb9dc50edfde838c5b96ec2f Description-en: akonadi-calendar - calendar reminder This library offers helper functions to integrate the use of Akonadi calendar items in applications. . This package contains the daemon for calendar reminders. Package: kdepim-runtime Description-md5: 0967d17f860788c8f2abc91821679d4e Description-en: runtime components for Akonadi KDE This package contains Akonadi agents written using KDE Development Platform libraries. Any package that uses Akonadi should probably pull this in as a dependency. The kres-bridges is also parts of this package. . This package is part of the kdepim-runtime module. Package: kdepim-themeeditors Description-md5: 9c1dbb7cdf6d69806b1c42af6547c3d0 Description-en: Theme Editors for KDE PIM applications KDE PIM Theme Editors allow you to create and edit various KDE themes for KDE PIM software such as KMail and KAddressBook. Package: kgpg Description-md5: c2c30394bb363998b52a8fcc2caeb33c Description-en: graphical front end for GNU Privacy Guard Kgpg manages cryptographic keys for the GNU Privacy Guard, and can encrypt, decrypt, sign, and verify files. It features a simple editor for applying cryptography to short pieces of text, and can also quickly apply cryptography to the contents of the clipboard. . This package is part of the KDE Utilities module. Package: kicad Description-md5: 21f7e01a3ffe97be9bbafb9e920e7e78 Description-en: Electronic schematic and PCB design software Kicad is a suite of programs for the creation of printed circuit boards. It includes a schematic editor, a PCB layout tool, support tools and a 3D viewer to display a finished & fully populated PCB. . Kicad is made up of 5 main components: . * kicad - project manager * eeschema - schematic editor * pcbnew - PCB editor * gerbview - GERBER viewer * cvpcb - footprint selector for components . Libraries: * Both eeschema and pcbnew have library managers and editors for their components and footprints * You can easily create, edit, delete and exchange library items * Documentation files can be associated with components, footprints and key words, allowing a fast search by function * Very large libraries are available for schematic components and footprints * Most components have corresponding 3D models Package: kicad-demos Description-md5: d9bb9691e767f694c242b3f10ecfcfde Description-en: Demo projects for kicad The KiCad projects within this package are various typical small use cases which can be used for study or also for build own projects on top of these existing projects. . The projects included are on one side easy and simple projects with classical through hole mounted components with one or two layers but also rather more complex projects based on surface mounted devices (SMD) with multiple layers. Package: kicad-doc-ca Description-md5: 4d9ee61f6ce42b604c77f2f03f9452f1 Description-en: Kicad help files (Catalan) This package provides various documentation files for KiCad in Catalan, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * GSIK - Getting Started in KiCad * KiCad - The main project editor Package: kicad-doc-de Description-md5: 16adabd006de81e7e21e272f7ded4142 Description-en: Kicad help files (German) This package provides various documentation files for KiCad in German, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * GUI Translation - HowTo Help to do GUI translation * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block Package: kicad-doc-en Description-md5: 567344c78915795c0787ff3b7d859aab Description-en: Kicad help files (English) This package provides various documentation files for KiCad in English, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * GUI Translation - HowTo Help to do GUI translation * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * PcbCalculator - A tool for typical calculations while PCB designing * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block * Plugins - Introduction to the KiCad plugin system Package: kicad-doc-es Description-md5: 65b886e8344efd70ffb06e21c41f6560 Description-en: Kicad help files (Spanish) This package provides various documentation files for KiCad in Spanish, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * KiCad - The main project editor * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block Package: kicad-doc-fr Description-md5: 3fbcc1c1ea789c83a7924fb8096144de Description-en: Kicad help files (French) This package provides various documentation files for KiCad in French, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GSIK - Getting Started in KiCad * KiCad - The main project editor * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block Package: kicad-doc-id Description-md5: ce43d76eda41ec5281940849c76d36e5 Description-en: Kicad help files (Indonesian) This package provides various documentation files for KiCad in Indonesian, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * Eeschema - schematic capture editor * GSIK - Getting Started in KiCad * KiCad - The main project editor Package: kicad-doc-it Description-md5: 8c7f8c304d25877dfb496fbecc7791ff Description-en: Kicad help files (Italian) This package provides various documentation files for KiCad in Italian, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * PcbCalculator - A tool for typical calculations while PCB designing * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block Package: kicad-doc-ja Description-md5: 27399d0aeae63f498a84113bf045abbd Description-en: Kicad help files (Japanese) This package provides various documentation files for KiCad in Japanese, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * GUI Translation - HowTo Help to do GUI translation * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block * Plugins - Introduction to the KiCad plugin system Package: kicad-doc-pl Description-md5: 0655990b914e927683889a2d10dee524 Description-en: Kicad help files (Polish) This package provides various documentation files for KiCad in Polish, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block Package: kicad-doc-ru Description-md5: bb97bc7fcda183ca1e927762c4a8c08e Description-en: Kicad help files (Russian) This package provides various documentation files for KiCad in Russian, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * GUI Translation - HowTo Help to do GUI translation * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * PcbCalculator - A tool for typical calculations while PCB designing * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block * Plugins - Introduction to the KiCad plugin system Package: kicad-doc-zh Description-md5: 63162c412616035f02db9860897c5a48 Description-en: Kicad help files (Chinese) This package provides various documentation files for KiCad in German, like the EPUB and the PDF files and also the OnLine HTML documentation for: . * CvPcb - Component association of Footprints * Eeschema - schematic capture editor * GerbView - Gerber file viewer (RS 274 X format) * GSIK - Getting Started in KiCad * GUI Translation - HowTo Help to do GUI translation * IDF Exporter - Export tool for IDFv3 boards and libraries * KiCad - The main project editor * PcbCalculator - A tool for typical calculations while PCB designing * Pcbnew - The printed circuit board software tool * PlEditor - The layout editor for custom title block * Plugins - Introduction to the KiCad plugin system Package: kicad-libraries Description-md5: f2959d171b2d67bfd0e119212339e09c Description-en: Virtual package providing common used libraries by kicad This package contains dependencies on the component libraries (schematics and footprints), and also on the templates that are used in KiCad. . If you prefer to control the installation of the dependent packages * kicad-footprint * kicad-symbols * kicad-templates you should not install kicad-libraries and install one or more of the above packages. . Note! 3D model libraries are not set as a dependency due the installation size of this package, if you want to use the 3D models you need to install kicad-packages3d separately. Package: kio-ldap Description-md5: deb8bd07261809563dc97763d615405d Description-en: library for accessing LDAP - kio slave This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package contains the KIO slave. Package: kio6 Description-md5: 490a3eb47a821487838a8cfb9f8418ab Description-en: resource and network access abstraction KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. Package: klayout Description-md5: da1feb2b7930fe04504c78af1a532478 Description-en: High Performance Layout Viewer and Editor This is very good viewer for GDSII and other layout files used in the semiconductor industry. . It is similar to 'magic', but has a much more modern GUI and is more robust handling all kinds of GDSII files created by various other tools. Its focus is more on viewing than on editing, but it also has limited, but expanding, support for DRC and extraction for LVS. Package: kleopatra Description-md5: e5d6fc989907b80b691c99f2d8834cf5 Description-en: Certificate Manager and Unified Crypto GUI Kleopatra is a certificate manager and a universal crypto GUI. It supports managing X.509 and OpenPGP certificates in the GpgSM keybox and retrieving certificates from LDAP servers. Package: kmail Description-md5: 06986fdec87702a4386c5bfc37a5a063 Description-en: full featured graphical email client KMail supports multiple accounts, mail filtering and email encryption. The program let you configure your workflow and it has good integration into KDE (Plasma Desktop) but is also useable with other Desktop Environments. Package: kmailtransport-plugins Description-md5: 48fbac49084e5ecc335dc40de6196583 Description-en: mail transport akonadi library - plugins Mailtransport is a library that provides the following functionality: . * Shared mail transport settings. * GUI elements to configure mail transport settings. * Job classes for mail sending. . This package contains the SMTP plugin. Package: konsolekalendar Description-md5: 6d16b3889cedcfd96f6a9e292cdb099a Description-en: konsole personal organizer KonsoleKalendar is a command-line interface to KDE calendars. KonsoleKalendar complements KOrganizer by providing a console frontend to manage your calendars. Package: kontact Description-md5: a61b852eaaf9e2326e2c89fe49ade943 Description-en: integrated application for personal information management Kontact is the integrated solution to your personal information management needs. It combines applications like KMail, KOrganizer and KAddressBook into a single interface to provide easy access to mail, scheduling, address book and other PIM functionality. Package: kosmindoormap-demo Description-md5: 6f50f8f58fa3b01dfd335b7b85470f9a Description-en: OSM multi-floor indoor map demo application Open Street Map indoor map renderer of for example a (large) train station. . Contains a demo application. Package: kosmindoormap-dev Description-md5: c61cb6eeac7219f445b5b62e9af367f2 Description-en: OSM multi-floor indoor map renderer (development files) Open Street Map indoor map renderer of for example a (large) train station. . Contains development files. Package: kpublictransport-data Description-md5: 59b4149aae6e033b3fddde2bce9b28e4 Description-en: Library to assist with accessing public transport (data files) The data files for a public transport API . This package contains the data files. Package: kstars Description-md5: f683107e014c6cad80de4fa829c4e841 Description-en: desktop planetarium, observation planning and telescope control KStars is a scientifically accurate desktop planetarium, visualising a graphical simulation of the night sky from any location on Earth, at any date and time. The display includes 130,000 stars, 13,000 deep-sky objects, all 8 planets, the Sun and Moon, and thousands of comets and asteroids. KStars addresses students and amateur astronomers of all levels. . The database of known objects can be extended and updated from local or remote databases, which is prepared for in a user-extendable interface. KStars suggests observations of particular interest like conjunctions with respect to the location of the user. And for user-selected targets it proposes the ones that are best-observable. . The software may be used for planning experiments around the globe, e.g. for remote controlled commercial services. But KStars also features an INDI interface to control local telescopes and cameras. Users with programming experience can script it via the KDE desktop bus. Package: kstars-data Description-md5: bba5efa02a5ac257563d213fb1d0a30f Description-en: data files for KStars desktop planetarium This package contains architecture-independent data files for KStars, the graphical desktop planetarium for KDE, including star catalogues and astronomical images. Package: ktrip Description-md5: d7820ad6369d95c99a542c80650c0613 Description-en: public transport assistant It helps you navigate in public transport. It allows you to find journeys between specified locations, departures for a specific station and shows real-time delay and disruption information. Package: kxstitch Description-md5: 9fd35d1e986371f497a87d93a30c64f2 Description-en: Cross stitch pattern editor KXStitch is a program that lets you create cross stitch patterns and charts. Patterns can be created from scratch on a user defined size of grid, which can be enlarged or reduced in size as your pattern progresses. Package: kxstitch-common Description-md5: 575c379ae895f9d5754cc4760d127835 Description-en: Data files, icons and documentation for kxstitch KXStitch is a program that lets you create cross stitch patterns and charts. Patterns can be created from scratch on a user defined size of grid, which can be enlarged or reduced in size as your pattern progresses. . This package contains the data files for KXStitch. Package: lebiniou Description-md5: 952f575bc11a764a1f1e57b1c8b84628 Description-en: user-friendly, powerful music visualization / VJing tool Le Biniou works with music, voice, ambient sounds, whatever acoustic source you choose. . When you run Le Biniou it gives an evolutionary rendering of the sound you are playing. . You are given two options to run Le Biniou: You can manage entirely the sequences and choose your own series of images from the default library, your colour scales, the kind of alteration you want to apply or you can let Le Biniou's artificial intelligence run on its own. . Forget the old visualizations you are familiar with, discover a new multidimensional – spatial and chromatic – way of comprehending music and sounds for either artistic, recreational or didactic purposes. Package: ledger2beancount Description-md5: c6c0368dce50afb4514d3e8317bcd973 Description-en: Convert Ledger-based textual ledgers to Beancount ones A script to automatically convert Ledger-based textual ledgers to Beancount ones. . Conversion is based on (concrete) syntax, so that information that is not meaningful for accounting reasons but still valuable (e.g., comments, formatting, etc.) can be preserved. Package: libabsl-dev Description-md5: 8dd067725c77dbcbea15ee6f034121c8 Description-en: extensions to the C++ standard library (development files) Abseil is an open-source collection of C++ library code designed to augment the C++ standard library. The Abseil library code is collected from Google's C++ codebase and has been extensively tested and used in production. In some cases, Abseil provides pieces missing from the C++ standard; in others, Abseil provides alternatives to the standard for special needs. . This package contains header files and other data necessary for developing with Abseil. Package: libadios2-common-c++11-dev Description-md5: 57268e11cbec2150bb3e70d415b21d06 Description-en: Adaptable IO system for simulations - C++11 binding include files (common) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the common C++11 binding include files for ADIOS2. Package: libadios2-common-c-dev Description-md5: 1c2cfa93793b12537fe1be40846cd3cf Description-en: Adaptable IO system for simulations - C binding include files (common) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the common C binding include files for ADIOS2. Package: libadios2-common-core-dev Description-md5: 74653ffb40aec3d346e60ea7ec8352a6 Description-en: Adaptable IO system for simulations - core include files (common) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the common core include files for ADIOS2. Package: libadios2-mpi-auxiliary-2.10 Description-md5: 30833304a2d4fcbec1f2c87c2b972b9b Description-en: ADIOS2 Adaptable IO system for simulations - auxiliary libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the auxiliary thirdparty libraries required by the MPI build of ADIOS2, which are not yet packaged separately: - atl - dill - EVPath - ffs - perfstubs Package: libadios2-mpi-auxiliary-dev Description-md5: 732f3a1490205a811ce4d71ea9c53dcc Description-en: Adaptable IO system for simulations - auxiliary development files (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains binding development files for the auxiliary thirdparty libraryies required by the MPI build of ADIOS2, which are not yet packaged separately: - atl - dill - EVPath - ffs - perfstubs Package: libadios2-mpi-c++11-2.10 Description-md5: 26a287a9eb7df867181236507d979fd5 Description-en: ADIOS2 Adaptable IO system for simulations - C++11 binding libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C++11 binding libraries for the MPI build of ADIOS2. Package: libadios2-mpi-c++11-dev Description-md5: fed92ce645d3b054fdbd030c6d4ddfac Description-en: Adaptable IO system for simulations - C++11 binding development files (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C++11 binding development files for the MPI build of ADIOS2. Package: libadios2-mpi-c-2.10 Description-md5: 3335639c8cd8625da1d0df44aced9933 Description-en: ADIOS2 Adaptable IO system for simulations - C binding libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C binding libraries for the MPI build of ADIOS2. Package: libadios2-mpi-c-dev Description-md5: ee63becc1eaa48a32a3f82bd8ebe87bf Description-en: Adaptable IO system for simulations - C binding development files (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C binding development files for the MPI build of ADIOS2. Package: libadios2-mpi-core-2.10 Description-md5: 1bbc19a1a7121683f60a5752c4d59d63 Description-en: ADIOS2 Adaptable IO system for simulations - core libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the core libraries for the MPI build of ADIOS2. Package: libadios2-mpi-core-dev Description-md5: a6037597e4b000c827ab3c73bfbafcbe Description-en: Adaptable IO system for simulations - core development files (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the core development files for the MPI build of ADIOS2. Package: libadios2-mpi-fortran-2.10 Description-md5: 2e121c1c338f331b8e3bfdfe6c395233 Description-en: ADIOS2 Adaptable IO system for simulations - Fortran binding libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Fortran binding libraries for the MPI build of ADIOS2. Package: libadios2-mpi-fortran-dev Description-md5: 6b6c3e9149f62c835e3ea01eff840141 Description-en: Adaptable IO system for simulations - Fortran binding development files (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Fortran binding development files for the MPI build of ADIOS2. Package: libadios2-mpi-plugins Description-md5: 2b24b7c1463117aa04083ef6ba648d17 Description-en: ADIOS2 Adaptable IO system for simulations - core libraries (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the plugin libraries for the MPI build of ADIOS2. Package: libadios2-serial-auxiliary-2.10 Description-md5: 1e2824419b8e2eb727158b40075f2d67 Description-en: ADIOS2 Adaptable IO system for simulations - auxiliary libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the auxiliary thirdparty libraries required by the serial build of ADIOS2, which are not yet packaged separately: - atl - dill - EVPath - ffs - perfstubs Package: libadios2-serial-auxiliary-dev Description-md5: 76dd37c89f153552a9cb7eb9f4ee418b Description-en: Adaptable IO system for simulations - auxiliary development files (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains binding development files for the auxiliary thirdparty libraryies required by the serial build of ADIOS2, which are not yet packaged separately: - atl - dill - EVPath - ffs - perfstubs Package: libadios2-serial-c++11-2.10 Description-md5: f7df48efa549e8d3cd4caa8eeaa2fc2f Description-en: ADIOS2 Adaptable IO system for simulations - C++11 binding libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C++11 binding libraries for the serial build of ADIOS2. Package: libadios2-serial-c++11-dev Description-md5: 94e13fa754414b86d3e99465789c09de Description-en: Adaptable IO system for simulations - C++11 binding development files (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C++11 binding development files for the serial build of ADIOS2. Package: libadios2-serial-c-2.10 Description-md5: 0a984f08514ef8ff007af39c42479f6b Description-en: ADIOS2 Adaptable IO system for simulations - C binding libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C binding libraries for the serial build of ADIOS2. Package: libadios2-serial-c-dev Description-md5: 5f02efb3076e9459f0f7e7c9d2d74ab8 Description-en: Adaptable IO system for simulations - C binding development files (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the C binding development files for the serial build of ADIOS2. Package: libadios2-serial-core-2.10 Description-md5: 72035af34866f3ebb3a993dfd80dfceb Description-en: ADIOS2 Adaptable IO system for simulations - core libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the core libraries for the serial build of ADIOS2. Package: libadios2-serial-core-dev Description-md5: ffc1edc97476b2841da6afe87c0429e4 Description-en: Adaptable IO system for simulations - core development files (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the core development files for the serial build of ADIOS2. Package: libadios2-serial-fortran-2.10 Description-md5: f9626defe65d0e5d708a8fe1abb7aa49 Description-en: ADIOS2 Adaptable IO system for simulations - Fortran binding libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Fortran binding libraries for the serial build of ADIOS2. Package: libadios2-serial-fortran-dev Description-md5: 624aa2debe9707be66c00fef6d68c9b6 Description-en: Adaptable IO system for simulations - Fortran binding development files (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Fortran binding development files for the serial build of ADIOS2. Package: libadios2-serial-plugins Description-md5: 861f06d7fa2b11f235073d61f73b3b8c Description-en: ADIOS2 Adaptable IO system for simulations - core libraries (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the plugin libraries for the serial build of ADIOS2. Package: libags-audio-dev Description-md5: 27deccc96d59feefeaa9213bf5ce4b62 Description-en: Advanced Gtk+ Sequencer audio processing engine (Development files) libags_audio is a tree based audio processing library built upon GObject and libags. It allows you to do audio processing supporting LADSPA, DSSI and Lv2 plugin support. Audio data is processed multi-threaded. . This package includes the needed header files to develop with Advanced Gtk+ Sequencer audio processing library. Package: libags-audio-doc Description-md5: 874a1289ca5d65cd81ecb2121c514ef1 Description-en: Advanced Gtk+ Sequencer audio processing engine (API documentation) libags_audio is a tree based audio processing library built upon GObject and libags. It allows you to do audio processing supporting LADSPA, DSSI and Lv2 plugin support. Audio data is processed multi-threaded. . This package contains the API reference in html format of Advanced Gtk+ Sequencer audio processing library. Package: libags-audio7t64 Description-md5: 72a0d1a628f8e72339e8f8c12b2d2c1e Description-en: Advanced Gtk+ Sequencer audio processing library libags_audio is a tree based audio processing library built upon GObject and libags. It allows you to do audio processing supporting LADSPA, DSSI and Lv2 plugin support. Audio data is processed multi-threaded. Package: libags-dev Description-md5: 9fe56f0637912d67753c345369f7491d Description-en: Advanced Gtk+ Sequencer core library (Development files) libags is a general purpose application context library built upon GObject providing persistence and configuration. It allows you to organize threads in a tree hierarchy that is synced 1000 times per second per default. Since it is written in a object orientated manner you might want to override its default behaviour. . This package includes the needed header files to develop with Advanced Gtk+ Sequencer base library. Package: libags-doc Description-md5: 02504e584f670eb97d88a62b2fad661f Description-en: Advanced Gtk+ Sequencer core library (API documentation) libags is a general purpose application context library built upon GObject providing persistence and configuration. It allows you to organize threads in a tree hierarchy that is synced 1000 times per second per default. Since it is written in a object orientated manner you might want to override its default behaviour. . This package contains the API reference in html format of Advanced Gtk+ Sequencer base library. Package: libags-gui-dev Description-md5: e84062758e5c9a1569f8c41eeeafe641 Description-en: Advanced Gtk+ Sequencer widget library (Development files) libags_gui is a widget library built upon Gtk+-4.0. . This package includes the needed header files to develop with Advanced Gtk+ Sequencer UI widget library. Package: libags-gui-doc Description-md5: ce52e51333e3995780444e430eacb606 Description-en: Advanced Gtk+ Sequencer widget library (API documentation) libags_gui is a widget library built upon Gtk+-4.0. . This package contains the API reference in html format of Advanced Gtk+ Sequencer UI widget library. Package: libags-gui7t64 Description-md5: 73d57ec8f767e2c9f307991358fde064 Description-en: Advanced Gtk+ Sequencer widget library libags_gui is a widget library built upon Gtk+-4.0. It contains widgets like - LED - dial - indicator - cartesian - ruler Package: libags7t64 Description-md5: 264127586245ba99045b10de63a8aad9 Description-en: Advanced Gtk+ Sequencer core library libags is a general purpose application context library built upon GObject providing persistence and configuration. It allows you to organize threads in a tree hierarchy that is synced 1000 times per second per default. Since it is written in a object orientated manner you might want to override its default behaviour. Package: libakonadi-data Description-md5: 442ed35a554e2850522510c648be877b Description-en: Akonadi arch independent data Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package ships arch independent data like translations etc. Package: libakonadi-dev Description-md5: 7e4a26d39047c8eee45c3e128b152cbf Description-en: development files for the KDE Development Platform PIM libraries This package contains development files for building software that uses the KDE Development Platform Personal Information Management libraries. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-dev-bin Description-md5: 3bb33497eb3d3f171f74c0034e587a9d Description-en: Additional development binaries for the Akonadi KDE PIM libraries This package contains development files for building software that uses the KDE Development Platform Personal Information Management libraries. . This package is part of the KDE Development Platform PIM libraries module. . It contains files to run Akonadi based tests. Package: libakonadicalendar-data Description-md5: ccb122260fc4b1a3966d32166765ebed Description-en: data files for akonadi-calendar This library offers helper functions to integrate the use of Akonadi calendar items in applications. . This package contains shared data files. Package: libakonadicalendar-dev Description-md5: 1a66f15ec9062a4af5011bd5403b8ab0 Description-en: akonadi-calendar - development files This library offers helper functions to integrate the use of Akonadi calendar items in applications. . This package contains the development files. Package: libakonadicontact-dev Description-md5: 9ebfbf96160491e8b72ad875771d325d Description-en: Akonadi contacts access library - development files This library provides contacts manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. . This package contains the development files. Package: libakonadimime-dev Description-md5: b3321820f4c726f0699303af89deb33f Description-en: Akonadi MIME handling library - development files This library provides MIME handling helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. . This package contains the development files. Package: libakonadinotes-dev Description-md5: eee620b27ee63eb96f6184bebfb8a4d9 Description-en: Akonadi notes access library - development files This library provides notes manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. . This package contains the development files. Package: libakonadisearch-bin Description-md5: e70613ede7e5ea56b4c868cfa0f61384 Description-en: Akonadi search library - runtime binaries Library used to search in the Akonadi PIM data server. . This package contains runtime binaries. Package: libakonadisearch-data Description-md5: dc4d2f43b452cc249e9e8ca73faaa3d7 Description-en: Akonadi search library - data files Library used to search in the Akonadi PIM data server. . This package contains data files. Package: libakonadisearch-dev Description-md5: 09afef3d87caf347e41cf4a1e39496e1 Description-en: Akonadi search library - development files Library used to search in the Akonadi PIM data server. . This package contains the development files. Package: libakonadisearch-plugins Description-md5: c2606e1bc9be28b8500c0115507f06da Description-en: Akonadi search library - runtime plugins Library used to search in the Akonadi PIM data server. . This package contains runtime plugins. Package: libakonadiserver-dev Description-md5: 7a5c6d60679631d3585bce30c8e84f52 Description-en: development files for the Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains development files for building software that uses the Akonadi PIM storage service. Package: libapbs-dev Description-md5: 99fddf8ba6c3ebcd3c50caf758147e53 Description-en: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. . This package contains the development headers to build against libapbs_* shared libraries. Package: libapbs3t64 Description-md5: 90f597bcf1c87921eed5cea0ddc1b150 Description-en: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. . This package contains the libapbs_* shared libraries. Package: libarpack2-dev Description-md5: ce479c0c27c3104bee7093d103d5e8cb Description-en: Fortran77 subroutines to solve large scale eigenvalue problems (development) ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . This package contains the static libraries and the documentation for development with libarpack (including examples). Package: libarpack2t64 Description-md5: 2930973bf6f522d792538129ee506be1 Description-en: Fortran77 subroutines to solve large scale eigenvalue problems ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . Important Features: . * Reverse Communication Interface. * Single and Double Precision Real Arithmetic Versions for Symmetric, Non-symmetric, * Standard or Generalized Problems. * Single and Double Precision Complex Arithmetic Versions for Standard or Generalized Problems. * Routines for Banded Matrices - Standard or Generalized Problems. * Routines for The Singular Value Decomposition. * Example driver routines that may be used as templates to implement numerous Shift-Invert strategies for all problem types, data types and precision. . This package contains the shared library. Package: libbarcode-zbar-perl Description-md5: 786452797735dcc36f300270df6ca56b Description-en: QR code / bar code scanner and decoder (Perl bindings) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the Perl bindings and the Barcode::Zbar module. Package: libbasix-dev Description-md5: ef00ad4fde6f4ceecacc877ab3b3f2f6 Description-en: Finite Element Basis Function Definition Runtime Library (development headers) Computes FE basis functions and derivatives for the following elements: - Lagrange (interval, triangle, tetrahedron, prism, pyramid, quadrilateral, hexahedron) - Nédélec (triangle, tetrahedron) - Nédélec Second Kind (triangle, tetrahedron) - Raviart-Thomas (triangle, tetrahedron) - Regge (triangle, tetrahedron) - Crouzeix-Raviart (triangle, tetrahedron) . Computes quadrature rules on different cell types . Provides reference topology and geometry for reference cells of each type. . Python wrapper provided with pybind11. . This package installs the development files for the shared library. Package: libbasix0.9 Description-md5: d1f7506b70a1a05918534efb2096e744 Description-en: Finite Element Basis Function Definition Runtime Library (Python 3) Computes FE basis functions and derivatives for the following elements: - Lagrange (interval, triangle, tetrahedron, prism, pyramid, quadrilateral, hexahedron) - Nédélec (triangle, tetrahedron) - Nédélec Second Kind (triangle, tetrahedron) - Raviart-Thomas (triangle, tetrahedron) - Regge (triangle, tetrahedron) - Crouzeix-Raviart (triangle, tetrahedron) . Computes quadrature rules on different cell types . Provides reference topology and geometry for reference cells of each type. . Python wrapper provided with pybind11. . This package installs the shared library. Package: libblas-test Description-md5: 7e697a3bd80892afd85df0f1b0596433 Description-en: Basic Linear Algebra Subroutines 3, testing programs BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains a set of programs which test the integrity of an installed blas-compatible shared library. These programs may therefore be used to test the libraries provided by the blas package as well as those provided by the libatlas3-base and libopenblas-base packages. The programs are dynamically linked -- one can explicitly select a library to test by setting the libblas.so.3 alternative, or by using the LD_LIBRARY_PATH or LD_PRELOAD environment variables. Likewise, one can display the library selected using the ldd program in an identical environment. Package: libblas64-test Description-md5: 87d771807f8e9f385d0433f0579b2453 Description-en: Basic Linear Algebra Subroutines 3, testing programs (64bit-index) BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains a set of programs which test the integrity of an installed blas-compatible shared library. These programs may therefore be used to test the libraries provided by the blas package as well as those provided by the libatlas3-base and libopenblas-base packages. The programs are dynamically linked -- one can explicitly select a library to test by setting the libblas.so.3 alternative, or by using the LD_LIBRARY_PATH or LD_PRELOAD environment variables. Likewise, one can display the library selected using the ldd program in an identical environment. . Compiled with Fortran option "-fdefault-integer-8". Package: libbloom-dev Description-md5: 127c4aa85feb482bbd7fc53f76d7d81d Description-en: simple and small bloom filter implementation in C (development files) It implements bloom filters: http://en.wikipedia.org/wiki/Bloom_filter . This package provides C header files for the library. Package: libbloom2 Description-md5: db98c98644eaf11bf73f19c6cb7d5293 Description-en: simple and small bloom filter implementation in C It implements bloom filters: http://en.wikipedia.org/wiki/Bloom_filter . This package provides shared library. Package: libbolt-19-dev Description-md5: a5816535f62942b4fcded9b86fb66840 Description-en: Post-link optimizer It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. Package: libbolt-20-dev Description-md5: a5816535f62942b4fcded9b86fb66840 Description-en: Post-link optimizer It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. Package: libbolt-dev Description-md5: fbba7bcbf52d4740e5b981984e324bc6 Description-en: Post-link optimizer - Development package It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. . This is a dependency package providing the default libbolt-dev package. Package: libboost-atomic1.83-dev Description-md5: 1aa2477e97733797bec0d32670d4e90e Description-en: atomic data types, operations, and memory ordering constraints This package forms part of the Boost C++ Libraries collection. . Boost.Atomic is a library that provides atomic data types and operations on these data types, as well as memory ordering constraints required for coordinating multiple threads through atomic variables. It implements the interface as defined by the C++11 standard, but makes this feature available for platforms lacking system/compiler support for this particular C++11 feature. . Users of this library should already be familiar with concurrency in general, as well as elementary concepts such as "mutual exclusion". . The implementation makes use of processor-specific instructions where possible (via inline assembler, platform libraries or compiler intrinsics), and falls back to "emulating" atomic operations through locking. Package: libboost-atomic1.83.0 Description-md5: 1aa2477e97733797bec0d32670d4e90e Description-en: atomic data types, operations, and memory ordering constraints This package forms part of the Boost C++ Libraries collection. . Boost.Atomic is a library that provides atomic data types and operations on these data types, as well as memory ordering constraints required for coordinating multiple threads through atomic variables. It implements the interface as defined by the C++11 standard, but makes this feature available for platforms lacking system/compiler support for this particular C++11 feature. . Users of this library should already be familiar with concurrency in general, as well as elementary concepts such as "mutual exclusion". . The implementation makes use of processor-specific instructions where possible (via inline assembler, platform libraries or compiler intrinsics), and falls back to "emulating" atomic operations through locking. Package: libboost-chrono1.83-dev Description-md5: 885c86b3701dab9982f79d2dcc83515c Description-en: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.83.0t64 Description-md5: 48bd785e2e56a406e6dbf1b5ba8ce77e Description-en: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class.Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * a tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * a thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-container1.83-dev Description-md5: 41cba76db235acb1c7483636f7771fe0 Description-en: C++ library that implements several well-known containers - dev files This package forms part of the Boost C++ Libraries collection. . Boost.Container library implements several well-known containers, including STL containers. The aim of the library is to offers advanced features not present in standard containers or to offer the latest standard draft features for compilers that don't comply with the latest C++ standard. . In short, what does Boost.Container offer? . * Move semantics are implemented, including move emulation for pre-C++11 compilers. * New advanced features (e.g. placement insertion, recursive containers) are present. * Containers support stateful allocators and are compatible with Boost.Interprocess (they can be safely placed in shared memory). * The library offers new useful containers: * flat_map, flat_set, flat_multimap and flat_multiset: drop-in replacements for standard associative containers but more memory friendly and with faster searches. * stable_vector: a std::list and std::vector hybrid container: vector-like random-access iterators and list-like iterator stability in insertions and erasures. * slist: the classic pre-standard singly linked list implementation offering constant-time size(). Note that C++11 forward_list has no size(). Package: libboost-container1.83.0 Description-md5: 4cc3eab0b1f3ec7475cebab0f0d54594 Description-en: C++ library that implements several well-known containers This package forms part of the Boost C++ Libraries collection. . Boost.Container library implements several well-known containers, including STL containers. The aim of the library is to offers advanced features not present in standard containers or to offer the latest standard draft features for compilers that don't comply with the latest C++ standard. . In short, what does Boost.Container offer? . * Move semantics are implemented, including move emulation for pre-C++11 compilers. * New advanced features (e.g. placement insertion, recursive containers) are present. * Containers support stateful allocators and are compatible with Boost.Interprocess (they can be safely placed in shared memory). * The library offers new useful containers: * flat_map, flat_set, flat_multimap and flat_multiset: drop-in replacements for standard associative containers but more memory friendly and with faster searches. * stable_vector: a std::list and std::vector hybrid container: vector-like random-access iterators and list-like iterator stability in insertions and erasures. * slist: the classic pre-standard singly linked list implementation offering constant-time size(). Note that C++11 forward_list has no size(). Package: libboost-context1.83-dev Description-md5: 509bcb267a10afdee403415de2d077bd Description-en: provides a sort of cooperative multitasking on a single thread This package forms part of the Boost C++ Libraries collection. . Boost.Context is a foundational library that provides a sort of cooperative multitasking on a single thread. By providing an abstraction of the current execution state in the current thread, including the stack (with local variables) and stack pointer, all registers and CPU flags, and the instruction pointer, a fcontext_t instance represents a specific point in the application's execution path. This is useful for building higher-level abstractions, like coroutines, cooperative threads (userland threads) or an equivalent to C# keyword yield in C++. Package: libboost-contract1.83-dev Description-md5: bf0d7e4539ed6d34f89ea7c9286b60e4 Description-en: Contract library for C++ - dev files This package forms part of the Boost C++ Libraries collection. . All contract programming features are supported: Subcontracting, class invariants, postconditions (with old and return values), preconditions, customizable actions on assertion failure (e.g., terminate or throw), optional compilation and checking of assertions, etc. Package: libboost-contract1.83.0 Description-md5: cbd95e0aa2c452e909d5fb8cd5d45bc3 Description-en: Contract library for C++ This package forms part of the Boost C++ Libraries collection. . All contract programming features are supported: Subcontracting, class invariants, postconditions (with old and return values), preconditions, customizable actions on assertion failure (e.g., terminate or throw), optional compilation and checking of assertions, etc. Package: libboost-coroutine1.83-dev Description-md5: 86838fff80fef6efdf92ff95491207e4 Description-en: provides a sort of cooperative multitasking on a single thread This package forms part of the Boost C++ Libraries collection. . Boost.Coroutine provides templates for generalized subroutines which allow multiple entry points for suspending and resuming execution at certain locations. It preserves the local state of execution and allows re-entering subroutines more than once (useful if state must be kept across function calls). . Coroutines can be viewed as a language-level construct providing a special kind of control flow. . In contrast to threads, which are pre-emptive, coroutine switches are cooperative (programmer controls when a switch will happen). The kernel is not involved in the coroutine switches. . The implementation uses Boost.Context for context switching. Package: libboost-coroutine1.83.0 Description-md5: 86838fff80fef6efdf92ff95491207e4 Description-en: provides a sort of cooperative multitasking on a single thread This package forms part of the Boost C++ Libraries collection. . Boost.Coroutine provides templates for generalized subroutines which allow multiple entry points for suspending and resuming execution at certain locations. It preserves the local state of execution and allows re-entering subroutines more than once (useful if state must be kept across function calls). . Coroutines can be viewed as a language-level construct providing a special kind of control flow. . In contrast to threads, which are pre-emptive, coroutine switches are cooperative (programmer controls when a switch will happen). The kernel is not involved in the coroutine switches. . The implementation uses Boost.Context for context switching. Package: libboost-date-time1.83-dev Description-md5: fca468edfa68f3723647bff7a2ed63c3 Description-en: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.83.0 Description-md5: fca468edfa68f3723647bff7a2ed63c3 Description-en: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-exception1.83-dev Description-md5: 384c2c28f8227e2f185f0778df8c37fc Description-en: library to help write exceptions and handlers This package forms part of the Boost C++ Libraries collection. . The purpose of Boost Exception is to ease the design of exception class hierarchies and to help write exception handling and error reporting code. Package: libboost-fiber1.83-dev Description-md5: f7f6013704ff4580caf3394917e3c295 Description-en: cooperatively-scheduled micro-/userland-threads This package forms part of the Boost C++ Libraries collection. . Boost.Fiber provides a framework for micro-/userland-threads (fibers) scheduled cooperatively. The API contains classes and functions to manage and synchronize fibers similarly to standard thread support library. . Each fiber has its own stack. . A fiber can save the current execution state, including all registers and CPU flags, the instruction pointer, and the stack pointer and later restore this state. The idea is to have multiple execution paths running on a single thread using cooperative scheduling (versus threads, which are preemptively scheduled). The running fiber decides explicitly when it should yield to allow another fiber to run (context switching). Boost.Fiber internally uses execution_context from Boost.Context; the classes in this library manage, schedule and, when needed, synchronize those execution contexts. A context switch between threads usually costs thousands of CPU cycles on x86, compared to a fiber switch with less than a hundred cycles. A fiber runs on a single thread at any point in time. Package: libboost-fiber1.83.0 Description-md5: f7f6013704ff4580caf3394917e3c295 Description-en: cooperatively-scheduled micro-/userland-threads This package forms part of the Boost C++ Libraries collection. . Boost.Fiber provides a framework for micro-/userland-threads (fibers) scheduled cooperatively. The API contains classes and functions to manage and synchronize fibers similarly to standard thread support library. . Each fiber has its own stack. . A fiber can save the current execution state, including all registers and CPU flags, the instruction pointer, and the stack pointer and later restore this state. The idea is to have multiple execution paths running on a single thread using cooperative scheduling (versus threads, which are preemptively scheduled). The running fiber decides explicitly when it should yield to allow another fiber to run (context switching). Boost.Fiber internally uses execution_context from Boost.Context; the classes in this library manage, schedule and, when needed, synchronize those execution contexts. A context switch between threads usually costs thousands of CPU cycles on x86, compared to a fiber switch with less than a hundred cycles. A fiber runs on a single thread at any point in time. Package: libboost-filesystem1.83-dev Description-md5: 2491b00e1306a35046eca365dc71ba1d Description-en: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-graph-parallel1.83-dev Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6 Description-en: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.83.0 Description-md5: 4f57c2a6e2a339b83d9c59b83812e4c6 Description-en: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph1.83-dev Description-md5: 6dc872164cdff9808536697108f9eecd Description-en: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.83.0 Description-md5: 6dc872164cdff9808536697108f9eecd Description-en: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-iostreams1.83-dev Description-md5: c5f42432b54f040f1daea1900a535e47 Description-en: Boost.Iostreams Library development files This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-json1.83-dev Description-md5: 8c42e611a8780e1908b6e82825870214 Description-en: C++ containers and algorithms that implement JSON This library focuses on a common and popular use-case: parsing and serializing to and from a container called value which holds JSON types. . Any value which you build can be serialized and then deserialized, guaranteeing that the result will be equal to the original value. Whatever JSON output you produce with this library will be readable by most common JSON implementations in any language. Package: libboost-json1.83.0 Description-md5: 8c42e611a8780e1908b6e82825870214 Description-en: C++ containers and algorithms that implement JSON This library focuses on a common and popular use-case: parsing and serializing to and from a container called value which holds JSON types. . Any value which you build can be serialized and then deserialized, guaranteeing that the result will be equal to the original value. Whatever JSON output you produce with this library will be readable by most common JSON implementations in any language. Package: libboost-locale1.83-dev Description-md5: 38eb5c1dcd3b37527a010d985b7c8018 Description-en: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-log1.83-dev Description-md5: 35804ca45b526f128dc2dc545fd3dbf6 Description-en: C++ logging library This package forms part of the Boost C++ Libraries collection. . This library aims to make logging significantly easier for the application developer. It provides a wide range of out-of-the-box tools along with public interfaces for extending the library. The main goals of the library are: . * Simplicity. A small example code snippet should be enough to get the feel of the library and be ready to use its basic features. * Extensibility. A user should be able to extend functionality of the library for collecting and storing information into logs. * Performance. The library should have as little performance impact on the user's application as possible. Package: libboost-log1.83.0 Description-md5: 35804ca45b526f128dc2dc545fd3dbf6 Description-en: C++ logging library This package forms part of the Boost C++ Libraries collection. . This library aims to make logging significantly easier for the application developer. It provides a wide range of out-of-the-box tools along with public interfaces for extending the library. The main goals of the library are: . * Simplicity. A small example code snippet should be enough to get the feel of the library and be ready to use its basic features. * Extensibility. A user should be able to extend functionality of the library for collecting and storing information into logs. * Performance. The library should have as little performance impact on the user's application as possible. Package: libboost-math1.83-dev Description-md5: ef3334f7fe3b30cab4c2d25557dbaaa3 Description-en: Boost.Math Library development files This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.83.0 Description-md5: 23f6f4e3877dda6577301cc1999a87b1 Description-en: Boost.Math Library This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-mpi-python1.83-dev Description-md5: f4aa2213bbcbac6016ed67ed252a2cb4 Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. Package: libboost-mpi-python1.83.0 Description-md5: 3aacb014fd455aab00e9bc4e91c51677 Description-en: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . One of the python interpreter packages is required to use the bindings. Package: libboost-mpi1.83-dev Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2 Description-en: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.83.0 Description-md5: 6766b51a84b1e0bf3fc6b1ccf0d4bbf2 Description-en: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-nowide1.83-dev Description-md5: b9afa86bce7e3278c8490ff95c937693 Description-en: Standard library functions with UTF-8 API on Windows development files This package forms part of the Boost C++ Libraries collection. . The library provides an implementation of standard C and C++ library functions which are UTF-8 aware on Windows without requiring to use the Wipe API. . On POSIX platforms, where UTF-8 is already commonly used, the calls in Nowide just alias to their standard counterparts. Package: libboost-nowide1.83.0 Description-md5: 3af43843053722a5bec4ac1ad1187bcf Description-en: Standard library functions with UTF-8 API on Windows This package forms part of the Boost C++ Libraries collection. . The library provides an implementation of standard C and C++ library functions which are UTF-8 aware on Windows without requiring to use the Wipe API. . On POSIX platforms, where UTF-8 is already commonly used, the calls in Nowide just alias to their standard counterparts. Package: libboost-numpy1.83-dev Description-md5: 0cd697d06e4f050674a72a97968e8c0c Description-en: Boost.Python NumPy extensions development files This package forms part of the Boost C++ Libraries collection. . The Boost Python library enables exporting a C++ library to Python. The NumPy extensions lets the C++ code interact with NumPy types. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-numpy1.83.0 Description-md5: f9652c47c704cb13fd7973b1d9eed5c1 Description-en: Boost.Python NumPy extensions This package forms part of the Boost C++ Libraries collection. . The Boost Python library enables exporting a C++ library to Python. The NumPy extensions lets the C++ code interact with NumPy types. . One of the python interpreter packages is required to use the created extensions. Package: libboost-python1.83-dev Description-md5: 4073b19bab34408d8c56b2db9718bb71 Description-en: Boost.Python Library development files This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-random1.83-dev Description-md5: 7ed20e15c02905e3feecfa31084222f4 Description-en: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.83.0 Description-md5: 7ed20e15c02905e3feecfa31084222f4 Description-en: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-serialization1.83-dev Description-md5: 94da985e07c2397fc71a3f86ec4da7dd Description-en: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.83.0 Description-md5: 94da985e07c2397fc71a3f86ec4da7dd Description-en: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-stacktrace1.83-dev Description-md5: 54146e5655935cff00889b9b265f7df3 Description-en: library to capture and print stack traces - development files This package forms part of the Boost C++ Libraries collection. . Boost.Stacktrace library is a simple C++03 library that provides information about call sequence in a human-readable form. Package: libboost-stacktrace1.83.0 Description-md5: 111fa2da3db5649074e55bfb276b056e Description-en: library to capture and print stack traces This package forms part of the Boost C++ Libraries collection. . Boost.Stacktrace library is a simple C++03 library that provides information about call sequence in a human-readable form. Package: libboost-system1.83-dev Description-md5: 1197eb2ee52a77c28d5e31e8e74c41eb Description-en: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.83.0 Description-md5: 1197eb2ee52a77c28d5e31e8e74c41eb Description-en: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-test1.83-dev Description-md5: 73a9e2027ebac32df5b898db3154448b Description-en: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.83.0 Description-md5: 73a9e2027ebac32df5b898db3154448b Description-en: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-thread1.83-dev Description-md5: d19954bb99722597efd2b9b392158287 Description-en: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-timer1.83-dev Description-md5: 3800da07b3dc08efcf3c762480b53231 Description-en: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.83.0 Description-md5: 3800da07b3dc08efcf3c762480b53231 Description-en: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-type-erasure1.83-dev Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221 Description-en: C++ runtime polymorphism based on concepts This package forms part of the Boost C++ Libraries collection. . C++ has two distinct kinds of polymorphism, virtual functions and templates, each of which has its own advantages and disadvantages. The Boost.TypeErasure library combines the superior abstraction capabilities of templates, with the runtime flexibility of virtual functions. Package: libboost-type-erasure1.83.0 Description-md5: c5bcc1bc341ce6b96d3a0f9a07fb2221 Description-en: C++ runtime polymorphism based on concepts This package forms part of the Boost C++ Libraries collection. . C++ has two distinct kinds of polymorphism, virtual functions and templates, each of which has its own advantages and disadvantages. The Boost.TypeErasure library combines the superior abstraction capabilities of templates, with the runtime flexibility of virtual functions. Package: libboost-url1.83-dev Description-md5: 35fe57ff170f6ab465e37db29c2609d9 Description-en: C++ library that implements "URL" C++ library which provides containers and algorithms which model a "URL," the Uniform Resource Identifier (URI) specification (henceforth referred to as rfc3986). . A URL is a compact sequence of characters that identifies an abstract or physical resource. . This library understands the grammars related to URLs and provides functionality to validate, parse, examine, and modify urls, and apply normalization or resolution algorithms. Package: libboost-wave1.83-dev Description-md5: 793667709ca25994c0a09d26633460ba Description-en: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.83.0 Description-md5: 793667709ca25994c0a09d26633460ba Description-en: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost1.83-all-dev Description-md5: abd1c54975e8940f5fbd0921c61d01f1 Description-en: Boost C++ Libraries development files (ALL) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This metapackage provides the complete Boost development environment, including all separately-packaged libraries. Package: libboost1.83-doc Description-md5: 0047dca3fe8d493c8010ac9a1b558493 Description-en: Boost.org libraries documentation placeholder This package originally provided documentation, but has since fallen into disrepair and presently provides no documentation. Please use documentation at www.boost.org. Package: libbrial-dev Description-md5: c2626fcf7fcb606f5ba4966ffc1b51a2 Description-en: polynomials over Boolean Rings, core development files The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl core development files. Package: libbrial-groebner-dev Description-md5: 06b76f7f1667b2f0b3f088ab64c02c58 Description-en: development files for libbrial-groebner The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the development files for libbrial-groebner. Package: libbrial-groebner3t64 Description-md5: c85b9b23bc19cfbcd1c4f6095e22ba7e Description-en: computer algebra routines of BRiAl The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl shared library libbrial_groebner. Package: libbrial3t64 Description-md5: 25d1c5e76291f633e89aa1da852af7cd Description-en: polynomials over Boolean Rings, shared library The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl shared library libbrial. Package: libbrlapi-java Description-md5: 550798d32e56d5123c7e48d253383d72 Description-en: Java bindings for BrlAPI BRLTTY is a daemon which provides access to the console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . BrlAPI is a library which gives an application access to a braille display and lets it write braille. . This package provides java classes to access a braille display via BrlAPI. Package: libbrlapi-jni Description-md5: eafbff381891784e8b3d70095621f0d7 Description-en: Java bindings for BrlAPI (native library) BRLTTY is a daemon which provides access to the console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . BrlAPI is a library which gives an application access to a braille display and lets it write braille. . This package provides the architecture-dependant files required to access a braille terminal via BrlAPI from Java. Package: libbtas-dev Description-md5: 592deca38d8fd0fce114f1c2cb68fcb4 Description-en: Basic Tensor Algebra Subroutines Library (development files) BTAS (Basic Tensor Algebra Subroutines) is a library for tensor algebra. It is a reference implementation of the Tensor Working Group concept spec. . This package contains the static libraries and the header files. Package: libc++-19-dev Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7 Description-en: LLVM C++ Standard library (development files) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. Package: libc++-19-dev-wasm32 Description-md5: 21e20e19acf2a499a9e194408e3990d7 Description-en: LLVM C++ Standard library (WASI) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. . This package provides a version for the 32-bit WebAssembly System Interface. Package: libc++-20-dev Description-md5: 8cd44d2ae4969ab1c95a30917ddc69e7 Description-en: LLVM C++ Standard library (development files) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. Package: libc++-20-dev-wasm32 Description-md5: 21e20e19acf2a499a9e194408e3990d7 Description-en: LLVM C++ Standard library (WASI) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. . This package provides a version for the 32-bit WebAssembly System Interface. Package: libc++-dev Description-md5: 3ca75a8e7b9eaa7112a4102ead82e335 Description-en: LLVM C++ Standard library (development files) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. . This is a dependency package providing the default LLVM C++ Standard library development files. Package: libc++-dev-wasm32 Description-md5: 3d9bc74fb08c20d53627588ff5e196c3 Description-en: LLVM C++ Standard library (WASI) libc++ is another implementation of the C++ standard library . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. . This is a dependency package providing the default 32-bit WebAssembly System Interface. Package: libc++1 Description-md5: 8b9091fdc51d61b803c1f8fd4842be8d Description-en: LLVM C++ Standard library libc++ is another implementation of the C++ standard library. . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. . This is a dependency package providing the default LLVM C++ Standard library. Package: libc++1-19 Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca Description-en: LLVM C++ Standard library libc++ is another implementation of the C++ standard library. . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. Package: libc++1-20 Description-md5: 3e11b80d657dd5ed05ee027e3cba65ca Description-en: LLVM C++ Standard library libc++ is another implementation of the C++ standard library. . Features and Goals . * Correctness as defined by the C++ standards. * Fast execution. * Minimal memory use. * Fast compile times. * ABI compatibility with gcc's libstdc++ for some low-level features such as exception objects, rtti and memory allocation. * Extensive unit tests. Package: libc++abi-19-dev Description-md5: 364169ef5dcd81ea07cbcba49d061676 Description-en: LLVM low level support for a standard C++ library (development files) libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ Package: libc++abi-19-dev-wasm32 Description-md5: 9a34398f2ed6518894f52de69f57d517 Description-en: LLVM low level support for a standard C++ library (WASI) libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ . This package provides a version for the 32-bit WebAssembly System Interface. Package: libc++abi-20-dev Description-md5: 364169ef5dcd81ea07cbcba49d061676 Description-en: LLVM low level support for a standard C++ library (development files) libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ Package: libc++abi-20-dev-wasm32 Description-md5: 9a34398f2ed6518894f52de69f57d517 Description-en: LLVM low level support for a standard C++ library (WASI) libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ . This package provides a version for the 32-bit WebAssembly System Interface. Package: libc++abi-dev Description-md5: de74d54ce43a3be3c55da5499dc775e8 Description-en: LLVM low level support for a standard C++ library (development files) libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ . This is a dependency package providing low level support to dev LLVM C++ Standard library. Package: libc++abi1 Description-md5: c4d93f7ffb705e8884d7d8a7f34ed30e Description-en: LLVM low level support for a standard C++ library libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ . This is a dependency package providing low level support to LLVM C++ Standard library. Package: libc++abi1-19 Description-md5: 6a197f8a8ae8385e801b369ea97886ce Description-en: LLVM low level support for a standard C++ library libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ Package: libc++abi1-20 Description-md5: 6a197f8a8ae8385e801b369ea97886ce Description-en: LLVM low level support for a standard C++ library libc++abi is another implementation of low level support for a standard C++ library. . Features and Goals . * Correctness as defined by the C++ standards. * Provide a portable sublayer to ease the porting of libc++ Package: libcaf-mpich-3t64 Description-md5: 9d9412e935ae276ba04b111249f3e1db Description-en: Co-Array Fortran libraries (MPICH) OpenCoArrays is an open-source software project that supports the coarray Fortran (CAF) parallel programming features of the Fortran 2008 standard and several features proposed for Fortran 2015 in the draft Technical Specification [TS 18508] "Additional Parallel Features in Fortran". . This package provides the libraries necessary for Fortran to build parallel CAF programs built on top of MPICH MPI. Package: libcaf-openmpi-3t64 Description-md5: fb98a199d40670e2d06b868ea80280ff Description-en: Co-Array Fortran libraries (OpenMPI) OpenCoArrays is an open-source software project that supports the coarray Fortran (CAF) parallel programming features of the Fortran 2008 standard and several features proposed for Fortran 2015 in the draft Technical Specification [TS 18508] "Additional Parallel Features in Fortran". . This package provides the libraries necessary for Fortran to build parallel CAF programs built on top of OpenMPI. Package: libcalendarsupport-data Description-md5: ed108671a11620981ba1bdcd347affd9 Description-en: KDE PIM Calendar support - data files This library provides calendar support for KDE PIM. . This package provides data files used by the library. Package: libcalendarsupport-dev Description-md5: edb2746c074a98a4b30b89455b0882f1 Description-en: KDE PIM Calendar support - development files This library provides calendar support for KDE PIM. . This package provides development files. Package: libcamitk-dev Description-md5: 6235bd1db242781f6a963bdefdb11041 Description-en: Computer Assisted Medical Intervention Tool Kit - development Helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . This package contains development files needed to build CamiTK applications. This package also provides the CamiTK wizard application to create new extensions. Package: libcamitk5 Description-md5: 5cefd2aa55a8280e9b55146cc51755b5 Description-en: Computer Assisted Medical Intervention Tool Kit - runtime Helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . This package contains the shared libraries needed to run CamiTK applications. Package: libcamitk5-data Description-md5: bd31b3313aa2f68fce5bdf0a5992d5b9 Description-en: Computer Assisted Medical Intervention Tool Kit - data Helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . This package contains the examples and test data for CamiTK. Package: libcamitk5-doc Description-md5: 9ad56f284ab3b0729fe457ad6dae0ab6 Description-en: Computer Assisted Medical Intervention Tool Kit - documentation Helps researchers and clinicians to easily and rapidly collaborate in order to prototype CAMI applications, that feature medical images, surgical navigation and biomechanical simulations. . This package contains the documentation for CamiTK. Package: libcctbx-dev Description-md5: 80927143262a36c2492844dcd04ad8bd Description-en: Computational Crystallography Toolbox - headers Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide everythings needed to link against the cctbx libraries. Package: libcctbx0 Description-md5: 1f470ab3421adb53b943b6c9907def61 Description-en: Computational Crystallography Toolbox - runtime libraries Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide the cctbx runtime libraries. Package: libcfitsio-bin Description-md5: 02c6f20735b29de2db853899b0d4b432 Description-en: CFITSIO based utilities FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains utility programs, based on CFITSIO, namely fitscopy, fitsverify, fpack, funpack and imcopy. Package: libcfitsio-dev Description-md5: 903890f49cc81b412671de3c4ba7ea56 Description-en: library for I/O with FITS format data files (development files) FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains what you need for compiling sources that use this library in your own code. Package: libcfitsio-doc Description-md5: ba07f397f75a32f60c44812948dd7737 Description-en: documentation for CFITSIO FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains the CFITSIO User's Guide and QuickStart Guide in html, postscript and text formats, and contains documentation on how to use the library in your programs. It also contains example source files that demonstrate the use of CFITSIO. Package: libcfitsio10t64 Description-md5: f8dfe4ddfc2f5b2bb969cae8c68bad08 Description-en: shared library for I/O with FITS format data files FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains what you need to run programs that use this library. Package: libclang-19-dev Description-md5: ae144ace7105bacb6cceb4728ea7abf2 Description-en: Clang library - Development package Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang headers to develop extensions over libclang1-19. Package: libclang-20-dev Description-md5: 5d11e43d0fcb99d16f62a9bec9c6f1dc Description-en: Clang library - Development package Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang headers to develop extensions over libclang1-20. Package: libclang-common-19-dev Description-md5: c70051d53e23270c29353f55183e81aa Description-en: Clang library - Common development package Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang generic headers. Package: libclang-common-20-dev Description-md5: c70051d53e23270c29353f55183e81aa Description-en: Clang library - Common development package Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang generic headers. Package: libclang-cpp-dev Description-md5: f7218d303382245359abcabb39989527 Description-en: C++ interface to the clang library Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the default Clang C++ library. Package: libclang-cpp19 Description-md5: 8acc7c5b41666c14f1ef5e79310225e6 Description-en: C++ interface to the Clang library Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang C++ library. . The C++ Interface to Clang provides an API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. Package: libclang-cpp19-dev Description-md5: f5afea2f6b71ba0705e995aaab21eef9 Description-en: C++ interface to the Clang library Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang C++ library. . The C++ Interface to Clang provides an API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. . This package contains symlinks without a version number, which needed by linker at build time. Package: libclang-cpp20 Description-md5: 8acc7c5b41666c14f1ef5e79310225e6 Description-en: C++ interface to the Clang library Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang C++ library. . The C++ Interface to Clang provides an API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. Package: libclang-cpp20-dev Description-md5: f5afea2f6b71ba0705e995aaab21eef9 Description-en: C++ interface to the Clang library Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang C++ library. . The C++ Interface to Clang provides an API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. . This package contains symlinks without a version number, which needed by linker at build time. Package: libclang-dev Description-md5: 43006e729c657afd63c436b78df919e0 Description-en: clang library - Development package Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the default libclang libraries and headers. Package: libclang-rt-19-dev Description-md5: 2aaff3e893bfd063ceaf38e310dc3055 Description-en: Compiler-rt - development package This package provides various libraries: . * builtins - Simple libraries that provide implementation of the low-level target-specific hooks required by code generation and other runtime components. * sanitizer runtimes - AddressSanitizer, ThreadSanitizer, UndefinedBehaviorSanitizer, MemorySanitizer, LeakSanitizer DataFlowSanitizer, etc * profile - Library which is used to collect coverage information. Package: libclang-rt-19-dev-wasm32 Description-md5: 0a3a8bf20b284a6b0fb9f63d5541c217 Description-en: Compiler-rt - wasm32 builtins Provides the compiler-rt builtins for WebAssembly 32 bits Package: libclang-rt-19-dev-wasm64 Description-md5: f6fba666aadae95050bf103b52358363 Description-en: Compiler-rt - wasm64 builtins Provides the compiler-rt builtins for WebAssembly 64 bits Package: libclang-rt-20-dev Description-md5: 2aaff3e893bfd063ceaf38e310dc3055 Description-en: Compiler-rt - development package This package provides various libraries: . * builtins - Simple libraries that provide implementation of the low-level target-specific hooks required by code generation and other runtime components. * sanitizer runtimes - AddressSanitizer, ThreadSanitizer, UndefinedBehaviorSanitizer, MemorySanitizer, LeakSanitizer DataFlowSanitizer, etc * profile - Library which is used to collect coverage information. Package: libclang-rt-20-dev-wasm32 Description-md5: 0a3a8bf20b284a6b0fb9f63d5541c217 Description-en: Compiler-rt - wasm32 builtins Provides the compiler-rt builtins for WebAssembly 32 bits Package: libclang-rt-20-dev-wasm64 Description-md5: f6fba666aadae95050bf103b52358363 Description-en: Compiler-rt - wasm64 builtins Provides the compiler-rt builtins for WebAssembly 64 bits Package: libclang-rt-dev Description-md5: 1812a11ac742700e5251b62acc061906 Description-en: Compiler-rt - Development package This package provides various libraries: . * builtins - Simple libraries that provide implementation of the low-level target-specific hooks required by code generation and other runtime components. * sanitizer runtimes - AddressSanitizer, ThreadSanitizer, UndefinedBehaviorSanitizer, MemorySanitizer, LeakSanitizer DataFlowSanitizer, etc * profile - Library which is used to collect coverage information. . This is a dependency package providing the default compiler-rt libraries and headers. Package: libclang-rt-dev-wasm32 Description-md5: 8eaf3c3af7ec726e852fae943bd3263d Description-en: Compiler-rt - wasm32 builtins Provides the compiler-rt builtins for WebAssembly 32 bits . This is a dependency package providing the default libclang wasm32 library. Package: libclang-rt-dev-wasm64 Description-md5: 10333242bc24219ed72a4be6f4ccd5fc Description-en: Compiler-rt - wasm64 builtins Provides the compiler-rt builtins for WebAssembly 64 bits . This is a dependency package providing the default libclang wasm64 library. Package: libclang1 Description-md5: 5f22ced7ed5c72e12f64d024bcfd161c Description-en: C, C++ and Objective-C compiler (LLVM based) Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the default clang libraries. . The C Interface to Clang provides a relatively small API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. Package: libclang1-20 Description-md5: 6fbabc5fd121a4ff6b254de59627b6de Description-en: C interface to the Clang library Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This package contains the Clang library. . The C Interface to Clang provides a relatively small API that exposes facilities for parsing source code into an abstract syntax tree (AST), loading already-parsed ASTs, traversing the AST, associating physical source locations with elements within the AST, and other facilities that support Clang-based development tools. Package: libclc-19 Description-md5: 73bf1c1e61845108c59b363de4fd901a Description-en: OpenCL C language implementation - platform support libclc is an open implementation of the OpenCL C programming language, as specified by the OpenCL 1.1 Specification. . This package contains support for the amdgcn (AMD GPU), PTX and r600 platforms. Package: libclc-19-dev Description-md5: af42cc63b204a8c47b782398389a6170 Description-en: OpenCL C language implementation - development files libclc is an open implementation of the OpenCL C programming language, as specified by the OpenCL 1.1 Specification. . This package contains development header files. Package: libclc-20 Description-md5: 73bf1c1e61845108c59b363de4fd901a Description-en: OpenCL C language implementation - platform support libclc is an open implementation of the OpenCL C programming language, as specified by the OpenCL 1.1 Specification. . This package contains support for the amdgcn (AMD GPU), PTX and r600 platforms. Package: libclc-20-dev Description-md5: af42cc63b204a8c47b782398389a6170 Description-en: OpenCL C language implementation - development files libclc is an open implementation of the OpenCL C programming language, as specified by the OpenCL 1.1 Specification. . This package contains development header files. Package: libcoarrays-dev Description-md5: 443f0a64b05f6c1b44fde675d83efdae Description-en: Co-Array Fortran libraries OpenCoArrays is an open-source software project that supports the coarray Fortran (CAF) parallel programming features of the Fortran 2008 standard and several features proposed for Fortran 2015 in the draft Technical Specification [TS 18508] "Additional Parallel Features in Fortran". . This package contains shared development files (headers and fortran modules) Package: libcoarrays-mpich-dev Description-md5: 26e66c932dd2e98f5060256749497024 Description-en: Co-Array Fortran libraries for - development files (MPICH) OpenCoArrays is an open-source software project that supports the coarray Fortran (CAF) parallel programming features of the Fortran 2008 standard and several features proposed for Fortran 2015 in the draft Technical Specification [TS 18508] "Additional Parallel Features in Fortran". . This package provides the libraries necessary for Fortran to build parallel CAF programs built on top of MPICH MPI. Package: libcoarrays-openmpi-dev Description-md5: 521eab5b3cd3a12d232bdf03341cde88 Description-en: Co-Array Fortran libraries - development files (OpenMPI) OpenCoArrays is an open-source software project that supports the coarray Fortran (CAF) parallel programming features of the Fortran 2008 standard and several features proposed for Fortran 2015 in the draft Technical Specification [TS 18508] "Additional Parallel Features in Fortran". . This package provides the libraries necessary for Fortran to build parallel CAF programs built on top of OpenMPI. Package: libcombblas-dev Description-md5: 01092df4e8f248432b56947ace54f260 Description-en: an extensible parallel graph library for graph analytics The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory parallel graph library offering a small but powerful set of linear algebra primitives specifically targeting graph analytics. . - The Combinatorial BLAS development influences the Graph BLAS standardization process. - It achieves scalability via its two dimensional distribution and coarse-grained parallelism. - CombBLAS powers HipMCL, a highly-scalable parallel implementation of the Markov Cluster Algorithm (MCL). - Operations among sparse matrices and vectors use arbitrary user defined semirings. . This package provides development files for building client applications against combblas. Package: libcombblas-docs Description-md5: 10fdb310beb6f4139d1cf4aeab6ac436 Description-en: an extensible parallel graph library for graph analytics (docs) The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory parallel graph library offering a small but powerful set of linear algebra primitives specifically targeting graph analytics. . - The Combinatorial BLAS development influences the Graph BLAS standardization process. - It achieves scalability via its two dimensional distribution and coarse-grained parallelism. - CombBLAS powers HipMCL, a highly-scalable parallel implementation of the Markov Cluster Algorithm (MCL). - Operations among sparse matrices and vectors use arbitrary user defined semirings. . This package provides full HTML documentation for the CombBLAS API. Package: libcombblas2.0.0t64 Description-md5: 40b7560544e0c13716535d69a7bfc105 Description-en: an extensible parallel graph library for graph analytics The Combinatorial BLAS (CombBLAS) is an extensible distributed-memory parallel graph library offering a small but powerful set of linear algebra primitives specifically targeting graph analytics. . - The Combinatorial BLAS development influences the Graph BLAS standardization process. - It achieves scalability via its two dimensional distribution and coarse-grained parallelism. - CombBLAS powers HipMCL, a highly-scalable parallel implementation of the Markov Cluster Algorithm (MCL). - Operations among sparse matrices and vectors use arbitrary user defined semirings. . This package provides the combblas shared library. Package: libconvert-pem-perl Description-md5: 27f242404eef1017cde4c27d5fe45df0 Description-en: Perl module for reading/writing encrypted ASN.1 PEM files Convert::PEM reads/writes encrypted ASN.1 PEM files. The files can optionally be encrypted using a symmetric cipher algorithm, such as 3DES. Package: libcoq-aac-tactics Description-md5: d468e31e016a5d91fa8d79cdff35cd0d Description-en: Coq tactics for reasoning modulo AC (theories) This Coq plugin provides tactics for rewriting universally quantified equations, modulo associative (and possibly commutative) operators. . This package provides the Coq support library. Package: libcoq-bignums Description-md5: 5661a9f2f00d0c1dc7e8cc4889e2dfa1 Description-en: Coq library for big numbers This package provides big numbers (natural numbers, integers and rationals) in Coq, as BigN, BigZ and BigQ, which used to be part of the standard library. . Coq is a proof assistant for higher-order logic. Package: libcoq-coqeal Description-md5: 679e37449b4daa031c64c87f9b5334b5 Description-en: algebra and data structure algorithms for Coq This library provides developments in algebra (including normal forms of matrices), optimized algorithms on MathComp data structures, and a data representation change framework. . Coq is a proof assistant for higher-order logic, and MathComp is a large set of mathematical theories for Coq. Package: libcoq-coqprime Description-md5: da1b9b42420ecfd09f7f3a29f0af36c8 Description-en: Primality certification for Coq (library) Coqprime consists in: - a library of facts from number theory - a library for elliptic curves - an efficient library for modular arithmetic - tools to generate Pocklington and elliptic curve certificates of primality . Coq is a proof assistant for higher-order logic. . This package contains the Coq library part. Package: libcoq-coquelicot Description-md5: 1a4a4a918ba48def79e1bc8e2e39dd79 Description-en: Coq library for real analysis This package provides a formalization of real analysis compatible with the Coq standard library. . Coq is a proof assistant for higher-order logic. Package: libcoq-core-ocaml Description-md5: 6f9fa9509e05b95dc040fa6f750867c3 Description-en: runtime libraries for Coq Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides runtime libraries for Coq. Package: libcoq-core-ocaml-dev Description-md5: 087097b71994724756abfbecea1882b9 Description-en: development libraries and tools for Coq Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides and libraries needed to develop OCaml-side extensions to Coq. Package: libcoq-corn Description-md5: ec8ab190706127a80e7654195179d6fa Description-en: Coq Constructive Repository at Nijmegen This library provides different theories for Coq: - an algebraic hierarchy with an axiomatic formalization of the most common algebraic structures, like setoids, monoids, groups, rings, fields, ordered fields, rings of polynomials and real and complex numbers; - a construction of the real numbers satisfying the above axiomatic description; - a proof of the fundamental theorem of algebra; - a collection of elementary results on real analysis including continuity, differentiability, integration, Taylor's theorems and the fundamental theorem of calculus; - tools for exact real computations like real numbers, functions, integrals, graph of functions and differential equations. . Coq is a proof assistant for higher-order logic. Package: libcoq-deriving Description-md5: f86da8bb7413c10ca613baec6bbded43 Description-en: deriving MathComp classes from inductive types This package builds instances of MathComp classes with little boilerplate, mimicking Haskell's deriving facility. . MathComp is a mathematical library for Coq. . Coq is a proof assistant for higher-order logic. Package: libcoq-dpdgraph Description-md5: 273eb3484d0176915de67cd31b22aa16 Description-en: Coq plugin to extract dependencies between Coq objects This package provides a plugin for Coq to extract dependencies between Coq objects and produce files with dependency information. . Coq is a proof assistant for higher-order logic. . This package provides the Coq plugin itself. Package: libcoq-elpi Description-md5: ff0392105ab4f5504e712b1b6f15deec Description-en: Coq plugin embedding Elpi This package makes it possible to define new commands and tactics for Coq in Elpi. . Elpi implements a lambda-Prolog interpreter enriched with constraint handling rules. . Coq is a proof assistant for higher-order logic. Package: libcoq-equations Description-md5: 33a517ad7548092fab1b723f4ca09c95 Description-en: Coq library to work with functions defined by equations This library provides a notation to write programs using dependent pattern-matching and well-founded recursion in Coq. . Coq is a proof assistant for higher-order logic. Package: libcoq-ext-lib Description-md5: 7ead45ffb4ed1a615db956ed9c7fb053 Description-en: Collection of theories and plugins for Coq This package provides a collection of theories and plugins that may be useful in other Coq developments. . Coq is a proof assistant for higher-order logic. Package: libcoq-extructures Description-md5: 0c8bbd733f0477a3e66701a1b6d2b4c2 Description-en: data structures with extensional reasoning for Coq This Coq plugin provides finite sets, maps and other data structures with extensional reasoning. . Coq is a proof assistant for higher-order logic. Package: libcoq-flocq Description-md5: 40dc9bd26759c7b4d14a10e25957fe12 Description-en: Floating-point arithmetic for Coq Flocq provides a formalization of floating-point arithmetic for Coq, in the form of a comprehensive library of theorems on a multi-radix multi-precision arithmetic, with efficient numerical computations. . Coq is a proof assistant for higher-order logic. Package: libcoq-gappa Description-md5: d29401f049a277a5f2cc3ee885c0c926 Description-en: Coq tactic to use Gappa for floating-point goals This package provides a Coq tactic to discharge goals about floating-point arithmetic and round-off errors to Gappa. . Gappa is a prover for numerical properties. . Coq is a proof assistant for higher-order logic. Package: libcoq-hammer Description-md5: 93c2a76bc9c3ffff3b0a71897b07707a Description-en: automated reasoning tool for Coq Hammer is a tool to help in the search of a proof in Coq. It tries to find applicable lemmas and combine them into a effective proof. . Coq is a proof assistant for higher-order logic. Package: libcoq-hierarchy-builder Description-md5: 7cbea0bccc9673cc40b4f3264cc7b0f5 Description-en: build hierarchies of algebraic structures in Coq This software provides high-level commands to build hierarchies of algebraic structures in the Coq system. Package: libcoq-hott Description-md5: 5c56dd9ef75fce82fc2150b322df3d18 Description-en: Coq library for homotopy type theory This library is a formalization of homotopy type theory for Coq, where propositional equality is interpreted as homotopy and type isomorphism as homotopy equivalence. . Coq is a proof assistant for higher-order logic. Package: libcoq-interval Description-md5: 592b78874085ed93fea0d897f7ed5db2 Description-en: interval arithmetic for Coq This package provides tactics to simplify the proof of inequalities on expressions of real numbers. . Coq is a proof assistant for higher-order logic. Package: libcoq-iris Description-md5: 74d5fbfb9ecfce6d055e40a6442c23c0 Description-en: high-order concurrent separation logic framework for Coq This package provides a high-order concurrent separation logic framework for Coq, which means it is useful to reason about safety of concurrent programs. . Coq is a proof assistant for higher-order logic. Package: libcoq-libhyps Description-md5: db8bbfca8b98fd7442164805cb2552a7 Description-en: Tactics on hypotheses in Coq This package provides tactics and tacticals to work with hypotheses during a Coq proof. . Coq is a proof assistant for higher-order logic. Package: libcoq-math-classes Description-md5: bbeb155966bce6ed102bd83d094e08a7 Description-en: Abstract interfaces for mathematical structures for Coq This library provides abstract interfaces for mathematical structures for Coq, such as: - algebraic hierarchy (groups, rings, fields, ...) - relations, orders, ... - Categories, functors, universal algebra, ... - Numbers: N, Z, Q, ... - Operations (shift, power, abs, ...). . Coq is a proof assistant for higher-order logic. Package: libcoq-mathcomp Description-md5: bd26831f634ff58fc6c73937049fe58a Description-en: Mathematical Components library for Coq (all) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the full Mathematical Components library. Package: libcoq-mathcomp-abel Description-md5: 3adc2407c3df0e5de782fe2f159ef52b Description-en: Abel-Galois and Abel-Ruffini theorems for Mathematical Components This package provides proofs of the Abel-Galois (solvability by radicals and solvability of the Galois group) and of the Abel-Ruffini theorem (general unsolvability of the quintic equations) using the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-algebra Description-md5: 402a51057693053e1578bf2afd16f6e3 Description-en: Mathematical Components library for Coq (algebra) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the algebra part of the library (ring, fields, ordered fields, real fields, modules, algebras, integers, rationals, polynomials, matrices, vector spaces...). Package: libcoq-mathcomp-algebra-tactics Description-md5: b500f100ae04143be5718038beb809bc Description-en: Ring and field tactics for Mathematical Components This package provides the 'ring' and 'field' tactics for the Mathematical Components library, that work for any instance of 'comRingType' and 'fieldType' through canonical structure inference. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-analysis Description-md5: 17ca6253f8d79f552f583a9d3bacf467 Description-en: analysis extension for Mathematical Components This package provides an extension for classical analysis for the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-bigenough Description-md5: 26e8e5e21a24c4debe89c2a7a20bcad8 Description-en: epsilon - N reasoning for Mathematical Components This package provides an extension to reason with big enough objects (mostly natural numbers) for the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-character Description-md5: b3a4f562c34593b33ba8ad962a117066 Description-en: Mathematical Components library for Coq (character) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the character theory part of the library (group representations, characters and class functions). Package: libcoq-mathcomp-classical Description-md5: a4708978f6d7d8ef4c3d90a421358ea6 Description-en: classical logic extension for Mathematical Components This package provides an extension for work with classical logic within the Coq proof-assistant using the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-field Description-md5: 552c63b45c57eb8f41189849920f0be9 Description-en: Mathematical Components library for Coq (field) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the field theory part of the library (field extensions, Galois theory, algebraic numbers, cyclotomic polynomials). Package: libcoq-mathcomp-fingroup Description-md5: a3c104270704751f92a4ae03a396fd7b Description-en: Mathematical Components library for Coq (finite groups) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the finite groups theory part of the library (finite groups, group quotients, group morphisms, group presentation, group action...). Package: libcoq-mathcomp-finmap Description-md5: 63fd8b8831df7dce56a2700f0059d67c Description-en: finite sets and maps extension for Mathematical Components This package provides an extension to manage finite sets, finite maps and finitely supported functions extending for the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-multinomials Description-md5: dc696b9ffcd93dcce440ab60ede8413b Description-en: Multivariate polynomials for Mathematical Components This package provides an extension to Mathematical Components for monomial algebra, multivariate polynomials over ring structures and an extended theory for polynomials whose coefficients live in abelian rings and integral domains. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-real-closed Description-md5: ac5dcf44ba4c1912a63545315e50c34a Description-en: Real closed fields for Mathematical Components This library contains definitions and theorems about real closed fields for Mathematical Components. It includes a construction of the real and algebraic closure (with a proof of the fundamental theorem of algebra). The decidability of the first order theory of real closed field, through quantifier elimination is also established. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-mathcomp-solvable Description-md5: 1a7210a8e613050539efbce3f6024942 Description-en: Mathematical Components library for Coq (finite groups II) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the second finite groups theory part of the library (abelian groups, center, commutator, Jordan-Holder series, Sylow theorems...). Package: libcoq-mathcomp-ssreflect Description-md5: 70fcad66ebe7043a2a943f9be8be4a05 Description-en: Mathematical Components library for Coq (small scale reflection) The Mathematical Components Library is an extensive and coherent repository of formalized mathematical theories. It is based on the Coq proof assistant, powered with the Coq/SSReflect language. . These formal theories cover a wide spectrum of topics, ranging from the formal theory of general-purpose data structures like lists, prime numbers or finite graphs, to advanced topics in algebra. . The formalization technique adopted in the library, called "small scale reflection", leverages the higher-order nature of Coq's underlying logic to provide effective automation for many small, clerical proof steps. This is often accomplished by restating ("reflecting") problems in a more concrete form, hence the name. For example, arithmetic comparison is not an abstract predicate, but rather a function computing a Boolean. . This package installs the small scale reflection language extension and the minimal set of libraries to take advantage of it (sequences, booleans and boolean predicates, natural numbers and types with decidable equality, finite types, finite sets, finite functions, finite graphs, basic arithmetics and prime numbers, big operators...). Package: libcoq-mathcomp-zify Description-md5: 8ebd7c2d5b5c152562b3095d68876570 Description-en: Micromega arithmetic solvers for Mathematical Components This package enables the use of the micromega arithmetic solvers of Coq for goals stated with the Mathematical Components library, by extending the zify tactic. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcoq-menhirlib Description-md5: 8d891c64cbef7d99c8b89663d921d672 Description-en: support library for Coq-verified Menhir parsers This package provides a support library for verified Coq parsers produced by Menhir. . Menhir is a LR(1) parser generator for the OCaml programming language and Coq is a proof assistant for higher-order logic. Package: libcoq-mtac2 Description-md5: 6841300aeafd53fddd1be8b77fa1bba7 Description-en: Mtac2 tactic language for Coq This plugin for Coq provides the Mtac2 typed tactic language for backward reasoning. . Coq is a proof assistant for higher-order logic. Package: libcoq-ott Description-md5: 1386de275ec3b4597f33aab7bc4a2ca7 Description-en: Ott tool (Coq plugin) Ott is a tool to write definitions of programming languages and calculi. From the definition of a language syntex and semanticsin a concise and readable notation close to informal mathematics, it can provide LaTeX, Coq, HOL, Isabelle/HOL, Lem or OCaml versions of the definition. . Coq is a proof assistant for higher-order logic. . This package provides the Coq plugin itself. Package: libcoq-paramcoq Description-md5: 0f313fc531ec3a334d5921ce381c273c Description-en: Coq plugin to generate parametricity statements This package provides a plugin for Coq to generate parametricity statements, typically used in data refinement proofs. . Coq is a proof assistant for higher-order logic. Package: libcoq-quickchick Description-md5: e621d041ded1fabf4a8bf5048e6b851f Description-en: randomized testing framework for Coq (plugin) QuickChick provides a framework for randomized testing of program properties ; it's a clone of Haskell's QuickCheck. . It includes a foundational verification framework to test code and a mechanism to automatically derive generators for inductive relations. . Coq is a proof assistant for higher-order logic. . This package contains the Coq plugin. Package: libcoq-record-update Description-md5: 88f89d9a5fbe40b2f7634d8368a32e1e Description-en: automatic record fields updaters for Coq This package provides an automatic and generic way to update record fields in Coq. . Coq is a proof assistant for higher-order logic. Package: libcoq-reduction-effects Description-md5: 760b1483c020afdf83e92b99f3fd7368 Description-en: reduction effects for Coq This plugin adds reduction side effects to some of the Coq reduction strategies. . Coq is a proof assistant for higher-order logic. Package: libcoq-reglang Description-md5: 407ad3a353f8ee596e70400372502d8c Description-en: representation of regular languages in Coq This package provides definitions and verified translations between different representations of regular languages for Coq: miscellaneous automata, regular expressions, WS1S logic. It also contains various decidability results and closure properties of regular languages. . Coq is a proof assistant for higher-order logic. Package: libcoq-relation-algebra Description-md5: a765dff0adbfdc3fa06433e9a1b8f624 Description-en: relation algebra for Coq This package provides a library on relation algebras, that is those admitting heterogeneous binary relations as a model, ranging from partially ordered monoid to residuated Kleen allegories and Kleene algebras with tests. . Coq is a proof assistant for higher-order logic. Package: libcoq-serapi-ocaml Description-md5: c148f8301764728b9525ace844664a4f Description-en: interaction with Coq (runtime) SerAPI provides a library for machine-to-machine interaction with Coq with particular emphasis on integrated development environments, code analysis tools and machine learning. . Coq is a proof assistant for higher-order logic. . This package provides runtime libraries for the serapi plugin. Package: libcoq-serapi-ocaml-dev Description-md5: 219cc78f3d9c97bf9ddd16d94f92b4fd Description-en: interaction with Coq (devel) SerAPI provides a library for machine-to-machine interaction with Coq with particular emphasis on integrated development environments, code analysis tools and machine learning. . Coq is a proof assistant for higher-order logic. . This package provides libraries needed to develop for the serapi plugin. Package: libcoq-simple-io Description-md5: 203327b966ea6f97a884c7e5a945d270 Description-en: Coq plugin for purely functional IO This package provides a plugin to implement Input/Output (IO) programs directly in Coq, in a style similar to Haskell's. . Coq is a proof assistant for higher-order logic. Package: libcoq-stdlib Description-md5: 9e601d42ac375ebc4cb75dff6e4c368e Description-en: proof assistant for higher-order logic (theories) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides existing theories that new proofs can be based upon, including theories of arithmetic and Boolean values. Package: libcoq-stdpp Description-md5: 64f44b23554299b788dfa292c534d7fc Description-en: Extended standard library for Coq This package provides an extended standard library for Coq, for instance: - a great number of definitions and lemmas for common data structures like lists, finite maps and finite multisets ; - type classes for common properties like decidable equality, finiteness or countability ; - various tactics for common tasks ; all of this dependency-free and axiom-free. . Coq is a proof assistant for higher-order logic. Package: libcoq-unicoq Description-md5: 48caa7101c0321542fff948271f05d89 Description-en: enhanced unification algorithm for Coq This package provides a new unification algorithm, replacing the existing one through a plugin. . Coq is a proof assistant for higher-order logic. Package: libcoq-unimath Description-md5: edbaa9c4853665d0d83d5a79d1b04ac7 Description-en: univalent formalization of mathematics for Coq This package provides a library to formalize a substantial body of mathematics using the univalent point of view. . Coq is a proof assistant for higher-order logic. Package: libcriterion-dev Description-md5: 03760bc9a6688e6451ae2f8cdfe6cb4f Description-en: cross-platform C and C++ unit testing framework (development files) Most test frameworks for C require a lot of boilerplate code to set up tests and test suites -- you need to create a main, then register new test suites, then register the tests within these suits, and finally call the right functions. . This gives the user great control, at the unfortunate cost of simplicity. . Criterion follows the KISS principle, while keeping the control the user would have with other frameworks: . * C99 and C++11 compatible. * Tests are automatically registered when declared. * Implements a xUnit framework structure. * A default entry point is provided, no need to declare a main unless you want to do special handling. * Test are isolated in their own process, crashes and signals can be reported and tested. * Unified interface between C and C++: include the criterion header and it just works. * Supports parameterized tests and theories. * Progress and statistics can be followed in real time with report hooks. * TAP output format can be enabled with an option. * Runs on Linux, FreeBSD, Mac OS X, and Windows (Compiling with MinGW GCC and Visual Studio 2015+). . This package contains the headers needed to compile other packages against the criterion library Package: libcriterion3 Description-md5: ad9dc453214f2decb49cafe67805c7f4 Description-en: cross-platform C and C++ unit testing framework Most test frameworks for C require a lot of boilerplate code to set up tests and test suites -- you need to create a main, then register new test suites, then register the tests within these suits, and finally call the right functions. . This gives the user great control, at the unfortunate cost of simplicity. . Criterion follows the KISS principle, while keeping the control the user would have with other frameworks: . * C99 and C++11 compatible. * Tests are automatically registered when declared. * Implements a xUnit framework structure. * A default entry point is provided, no need to declare a main unless you want to do special handling. * Test are isolated in their own process, crashes and signals can be reported and tested. * Unified interface between C and C++: include the criterion header and it just works. * Supports parameterized tests and theories. * Progress and statistics can be followed in real time with report hooks. * TAP output format can be enabled with an option. * Runs on Linux, FreeBSD, Mac OS X, and Windows (Compiling with MinGW GCC and Visual Studio 2015+). . This package contains the runtime library of the package Package: libdeal.ii-9.6.0 Description-md5: 0c8668bb5606f7acd1d570172d38f180 Description-en: Finite Element Differential Equations Analysis Library deal.II is a C++ program library targeted at the computational solution of partial differential equations using adaptive finite elements. It uses state-of-the-art programming techniques to offer you a modern interface to the complex data structures and algorithms required. . This package contains the shared libraries. Package: libdeal.ii-dev Description-md5: 5a369645e90c8f66b985eb03e4c7a0db Description-en: Differential Equations Analysis Library - development files deal.II is a C++ program library targeted at the computational solution of partial differential equations using adaptive finite elements. It uses state-of-the-art programming techniques to offer you a modern interface to the complex data structures and algorithms required. . This package contains the development files. Package: libdeal.ii-doc Description-md5: dcf2e06380119549b7af283bba39aa78 Description-en: Differential Equations Analysis Library - html doc. and examples deal.II is a C++ program library targeted at the computational solution of partial differential equations using adaptive finite elements. It uses state-of-the-art programming techniques to offer you a modern interface to the complex data structures and algorithms required. . This package contains the documentation and examples. Package: libdevicexlib-dev Description-md5: c4c1b8c6a8b07dc1776fb34496cef7f0 Description-en: Library wrapping device-oriented routines and utilities The deviceXlib library wraps device-oriented routines and utilities. . This package contains the static library. Package: libdico2t64 Description-md5: eaaf55d14ffda8e0d48423b9a7314451 Description-en: RFC 2229 compliant modular dictionary server (shared library) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the shared library. Package: libdolfin-dev Description-md5: 3d79ecc23d1ab64df6bd61a89fc7d93e Description-en: Shared links and development files for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the development files. . This is the legacy version of DOLFIN, you may want to install the next-generation libdolfinx-dev (DOLFIN-X) instead. Package: libdolfin-dev-common Description-md5: 102fedefc8f65414f2ef972f4bdbee89 Description-en: Common header files for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the common development header files. . This is the legacy version of DOLFIN, you may want to install the next-generation libdolfinx-dev (DOLFIN-X) instead. Package: libdolfin2019.2t64 Description-md5: 36b945ea393f2c4a8fe500c27fbbb1a7 Description-en: Shared libraries for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries. Package: libdolfin64-2019.2t64 Description-md5: 9b05d589a0e6b372aaec1d227313cf71 Description-en: Shared libraries for DOLFIN (64-bit indexing) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries for DOLFIN built against 64-bit PETSc (64-bit indexing). Package: libdolfin64-dev Description-md5: c32a0d365a083bb480ef0d2cb6a101a6 Description-en: Shared links and dev files for DOLFIN (64-bit indexing) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the development files for DOLFIN built against 64-bit PETSc (64-bit indexing). . This is the legacy version of DOLFIN, you may want to install the next-generation libdolfinx-dev (DOLFIN-X) instead. Package: libdovi-dev Description-md5: 56d1cfdef6dbd24e2a30a373f6509a6b Description-en: read and write Dolby Vision metadata (development files) Dolby Vision is a format for high dynamic range (HDR) video. The libdovi library provides functonality to read and write Dolby Vision metadata. . This package contains the development files. Package: libdovi3 Description-md5: b45d18877b74d0eda1d9e92583084d2e Description-en: read and write Dolby Vision metadata (shared library) Dolby Vision is a format for high dynamic range (HDR) video. The libdovi library provides functonality to read and write Dolby Vision metadata. . This package contains the shared library. Package: libdune-common-dev Description-md5: 6b7febfda4fb6035c9e5ebe00c5dfc58 Description-en: toolbox for solving PDEs -- basic classes (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the basic classes. Package: libdune-common-doc Description-md5: 75634d17d9c0ff91984e531f1b00a182 Description-en: toolbox for solving PDEs -- basic classes (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the basic classes. Package: libdune-functions-dev Description-md5: 3deacdcb722cbfc9d21f7fbb4d727070 Description-en: toolbox for solving PDEs -- interface for functions (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . dune-functions provides an interface for global finite element functions. . This package contains the development files. Package: libdune-functions-doc Description-md5: 2bcbbf027fac592936f5e5b56b221361 Description-en: toolbox for solving PDEs -- interface for functions (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . dune-functions provides an interface for global finite element functions. . This package contains the documentation. Package: libdune-geometry-dev Description-md5: 3b40a15aad9393d2093a770e27cc7a0d Description-en: toolbox for solving PDEs -- geometry classes (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the geometry classes. Package: libdune-geometry-doc Description-md5: 771ce72d6c781fc1ade450e064adff74 Description-en: toolbox for solving PDEs -- geometry classes (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the geometry classes. Package: libdune-grid-dev Description-md5: 85d4d4fceff920f104f6c3693a7607e8 Description-en: toolbox for solving PDEs -- grid interface (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the grid interface. Package: libdune-grid-doc Description-md5: 94753bf5a89b60673de5b4b8aa1266bd Description-en: toolbox for solving PDEs -- grid interface (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the grid interface. Package: libdune-grid-glue-dev Description-md5: 5a74c74d9339484f14f7ee1862b40ef4 Description-en: toolbox for solving PDEs -- compute couplings between grids (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . dune-grid-glue provides infrastructure for the coupling of two unrelated DUNE grids. The coupling may be overlapping or nonoverlapping, conforming or nonconforming. The two grids are not required to be of the same type, and they may even be of different dimensions. . This package contains the development files. Package: libdune-grid-glue-doc Description-md5: 2ec97ab870bf2d892011e93dbc0f90d9 Description-en: toolbox for solving PDEs -- compute couplings between grids (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . dune-grid-glue provides infrastructure for the coupling of two unrelated DUNE grids. The coupling may be overlapping or nonoverlapping, conforming or nonconforming. The two grids are not required to be of the same type, and they may even be of different dimensions. . This package contains the documentation. Package: libdune-istl-dev Description-md5: 861f28a73f032fa881df43c7dbe3ac88 Description-en: toolbox for solving PDEs -- iterative solvers (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the iterative solver template library. Package: libdune-istl-doc Description-md5: 290d1b252e098c4592acc54dbb97ea43 Description-en: toolbox for solving PDEs -- iterative solvers (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the iterative solver template library. Package: libdune-localfunctions-dev Description-md5: f5bcf298319321edca2b6cbf46308b62 Description-en: toolbox for solving PDEs -- local basis (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the interface for the local basis and layout of the degrees of freedom. Package: libdune-localfunctions-doc Description-md5: 9951dbc75ae1c115815e697de4ad371c Description-en: toolbox for solving PDEs -- local basis (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the interface for the local basis and layout of the degrees of freedom. Package: libdune-typetree-dev Description-md5: b2b5a6fa7b9d141a4c528edf703a2d1e Description-en: toolbox for solving PDEs -- typed tree template library (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the typed tree template library. Package: libdune-typetree-doc Description-md5: 1541e608eac2816a3810ba82a155cb21 Description-en: toolbox for solving PDEs -- typed tree template library (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the typed tree template library. Package: libdune-uggrid-dev Description-md5: 4cc3595f160bf1d2aba339076078ab0d Description-en: software framework for finite element methods (development files) UG is a flexible software tool for the numerical solution of partial differential equations on unstructured meshes, with a focus on multigrid methods. It has a very powerful grid manager, which supports two- and three-dimensional grids with mixed element types. The grids can be adaptively refinement using either classic red/green-refinement, or pure red refinement with hanging nodes. All this is fully parallelized and can run on large distributed machines. . The version in this package has been built with the necessary flags for use with the Dune software system. . This package contains the development files. Package: libeckit-dev Description-md5: 10e695bcc9686cd92584d7bff379ddbc Description-en: C++ toolkit for ECMWF tools and applications ecKit is a cross-platform c++ toolkit that supports development of tools and applications at ECMWF. It is based on code developed over the last 20 years within the MARS software and was re-factored out to be reused by other applications. The main focus is UNIX/POSIX systems, and it has been thoroughly tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in some way can be seen as a leaner substitute for some 'Boost' libraries. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libeckit-utils Description-md5: 74553e3d8a8ef58d97b5f0140444ed11 Description-en: C++ toolkit for ECMWF tools and applications - development files ecKit is a cross-platform c++ toolkit that supports development of tools and applications at ECMWF. It is based on code developed over the last 20 years within the MARS software and was re-factored out to be reused by other applications. The main focus is UNIX/POSIX systems, and it has been thoroughly tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in some way can be seen as a leaner substitute for some 'Boost' libraries. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libeckit0d Description-md5: 10e695bcc9686cd92584d7bff379ddbc Description-en: C++ toolkit for ECMWF tools and applications ecKit is a cross-platform c++ toolkit that supports development of tools and applications at ECMWF. It is based on code developed over the last 20 years within the MARS software and was re-factored out to be reused by other applications. The main focus is UNIX/POSIX systems, and it has been thoroughly tested on AIX, Linux and Mac OSX. Historically, the code base pre-dates and in some way can be seen as a leaner substitute for some 'Boost' libraries. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libell-dev Description-md5: 83184daf9a971f2f9f27ba11727c1c6a Description-en: development files for the Embedded Linux library The Embedded Linux library (aka. ell) provides table, queues, ring buffers, cryptographic, and some functions for Embedded Devices. . This package contains what you need for compiling sources that use this library in your own code. Package: libell0 Description-md5: 6e120ef5112741b1e2b695ded4ca42b1 Description-en: Embedded Linux library The Embedded Linux library (aka. ell) provides table, queues, ring buffers, cryptographic, and some functions for Embedded Devices. . This package contains what you need to run programs that use this library. Package: libelpi-ocaml Description-md5: 0a4257b4ca0c21955588fd0e34cff492 Description-en: embeddable lambda-Prolog interpreter (runtime files) ELPI implements a lambda-Prolog interpreter enriched with constraint handling rules, to provide an extension language to larger applications written in OCaml. . This package provides the runtime files. Package: libelpi-ocaml-dev Description-md5: 49bf6aafd855780cf45d3137d68832dc Description-en: embeddable lambda-Prolog interpreter (dev files) ELPI implements a lambda-Prolog interpreter enriched with constraint handling rules, to provide an extension language to larger applications written in OCaml. . This package provides the dev files. Package: libexactimage-perl Description-md5: 93eafba0d4643325efa77fb195bb9b44 Description-en: fast image manipulation library (Perl bindings) ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the Perl bindings. Package: libfaketime Description-md5: 9717213973a84a29283491178debf275 Description-en: Report faked system time to programs (preload library) The Fake Time Preload Library (FTPL, a.k.a. libfaketime) intercepts various system calls which programs use to retrieve the current date and time. It can then report faked dates and times (as specified by you, the user) to these programs. This means you can modify the system time a program sees without having to change the time system-wide. FTPL allows you to specify both absolute dates (e.g., 2004-01-01) and relative dates (e.g., 10 days ago). . This package contains the LD_PRELOAD library for faketime. Package: libfckit-dev Description-md5: 425e39ff19ee709914f46ff94f2843f6 Description-en: Fortran toolkit for interoperating Fortran with C/C++ This is a toolkit from ECMWF to make ecKit interoperable with Fortran. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libfckit-utils Description-md5: 0efa58911ea92a6554b9f98e35d5e864 Description-en: Library Fortran toolkit for interoperating Fortran with C/C++ This is a toolkit from ECMWF to make ecKit interoperable with Fortran. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libfckit0d Description-md5: 0efa58911ea92a6554b9f98e35d5e864 Description-en: Library Fortran toolkit for interoperating Fortran with C/C++ This is a toolkit from ECMWF to make ecKit interoperable with Fortran. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libfetk-dev Description-md5: 061d4475d6b8a227f13e36225397f2b7 Description-en: FETK libraries for APBS (development headers) The Finite Element ToolKit (FETK) is a collection of adaptive finite element method (AFEM) software libraries and tools for solving coupled systems of nonlinear geometric partial differential equations (PDE). The FETK libraries and tools are written in an object-oriented form of ANSI-C and in C++, and include a collection of standard numerical libraries (PUNC), a stand-alone high-quality surface and volume simplex mesh generator (GAMer), a stand-alone networked polygon display tool (SG), a general nonlinear finite element modeling kernel (MC) . This package provides a fork of FETK intended for use by APBS (Adaptive Poisson Boltzmann Solver). . The FETK component MALOC (Minimal Abstraction Layer for Object-oriented C/C++ programs) is provided separately by libmaloc-dev. . This package contains the development headers to build against libapbs_* shared libraries. Package: libfetk1.9t64 Description-md5: 29d68a451f70081d4e9f9e9ff7160169 Description-en: FETK libraries for APBS (shared libraries) The Finite Element ToolKit (FETK) is a collection of adaptive finite element method (AFEM) software libraries and tools for solving coupled systems of nonlinear geometric partial differential equations (PDE). The FETK libraries and tools are written in an object-oriented form of ANSI-C and in C++, and include a collection of standard numerical libraries (PUNC), a stand-alone high-quality surface and volume simplex mesh generator (GAMer), a stand-alone networked polygon display tool (SG), a general nonlinear finite element modeling kernel (MC) . This package provides a fork of FETK intended for use by APBS (Adaptive Poisson Boltzmann Solver). . The FETK component MALOC (Minimal Abstraction Layer for Object-oriented C/C++ programs) is provided separately via libmaloc-dev. . This package contains the libgamer, libmc, libpunc (and libcgcode, libvf2c) shared libraries. Package: libffindex0-dev Description-md5: 2e1a5431a7e2882cda8dd362295e7ead Description-en: library for simple index/database for huge amounts of small files (development) FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package contains the header files and documentation needed to develop applications with libffindex. Package: libffindex0t64 Description-md5: 8e51c2b4dcfee1f9473492981967e475 Description-en: library for simple index/database for huge amounts of small files FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package provides the shared library. Package: libfftw3-mpi-dev Description-md5: 949ad157dc374d96bd9538fdde4f5944 Description-en: MPI Library for computing Fast Fourier Transforms - development The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the statically linked library, header files and test programs. . This package contains the header files and static libraries for the mpi libraries. For documentation, see libfftw3-doc. Package: libfftw3-mpi3 Description-md5: c5bacbd678deaa87a976b8848ae7546a Description-en: MPI Library for computing Fast Fourier Transforms The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the shared library versions of the fftw libraries in single, double and long double precisions. Note that on some architectures double is the same as long double in which case there is no long double library. . To get the static library and the header files, you need to install libfftw3-mpi-dev. For documentation, see libfftw3-doc. Package: libfiat-0 Description-md5: a077df21c9ae02b239cd0f8439dcd3ae Description-en: Fortran IFS and Arpege Toolkit - libray FIAT is a collection of selected Fortran utility libraries, extracted from the IFS/Arpege model used at ECMWF. It provides: drhook : tracing gstats : timing parkind : choose precision mpl : MPI communication mpi_serial: MPI dummy symbols compiled into static library other various routines . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libfiat-dev Description-md5: ca65ce441c2a42b170f42c6e7fc1f748 Description-en: Fortran IFS and Arpege Toolkit - development files FIAT is a collection of selected Fortran utility libraries, extracted from the IFS/Arpege model used at ECMWF. It provides: drhook : tracing gstats : timing parkind : choose precision mpl : MPI communication mpi_serial: MPI dummy symbols compiled into static library other various routines . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libflang-19-dev Description-md5: 3328d45b650afee35fe8b6da0b9b1e49 Description-en: Flang library - Development package Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. . This package contains the flang libraries and headers. Package: libflang-20-dev Description-md5: 3328d45b650afee35fe8b6da0b9b1e49 Description-en: Flang library - Development package Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. . This package contains the flang libraries and headers. Package: libflang-dev Description-md5: 2fd542969bec68b3ce1ea2f4c53152cd Description-en: Flang library - Development package Ground-up implementation of a Fortran front end written in modern C++. . While it is capable of generating executables for a number of examples, some functionalities are still missing. . This package contains the flang libraries and headers. . This is a dependency package providing the default libflang-dev package. Package: libfop-java Description-md5: 70e4e000939ced56013963fccb01b8b4 Description-en: XML formatter driven by XSL Formatting Objects (XSL-FO.) - libs FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the fop jar file Package: libfuzzer-19-dev Description-md5: bc55cd127b8dab148b78e61e5e475b8e Description-en: Library for coverage-guided fuzz testing LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing of other libraries. . LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs all of its fuzzing inside a single process. This in-process fuzzing can be more restrictive and fragile, but is potentially much faster as there is no overhead for process start-up. . The fuzzer is linked with the library under test, and feeds fuzzed inputs to the library via a specific fuzzing entrypoint (aka 'target function'); the fuzzer then tracks which areas of the code are reached, and generates mutations on the corpus of input data in order to maximize the code coverage. The code coverage information for libFuzzer is provided by LLVM's SanitizerCoverage instrumentation. Package: libfuzzer-20-dev Description-md5: bc55cd127b8dab148b78e61e5e475b8e Description-en: Library for coverage-guided fuzz testing LibFuzzer is a library for in-process, coverage-guided, evolutionary fuzzing of other libraries. . LibFuzzer is similar in concept to American Fuzzy Lop (AFL), but it performs all of its fuzzing inside a single process. This in-process fuzzing can be more restrictive and fragile, but is potentially much faster as there is no overhead for process start-up. . The fuzzer is linked with the library under test, and feeds fuzzed inputs to the library via a specific fuzzing entrypoint (aka 'target function'); the fuzzer then tracks which areas of the code are reached, and generates mutations on the corpus of input data in order to maximize the code coverage. The code coverage information for libFuzzer is provided by LLVM's SanitizerCoverage instrumentation. Package: libgdbussyncevo0 Description-md5: 81cdca19c3ca84881b6fa874129a1846 Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (shared gdbus library) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a shared library. Package: libgdk-pixbuf-xlib-2.0-0 Description-md5: ff4a54bdd102169fcecdeee6b5a5e344 Description-en: GDK Pixbuf library (deprecated Xlib integration) This package contains a deprecated library to render GdkPixbuf structures to X drawables using Xlib (libX11). . No newly written code should ever use this library. . If your existing code depends on gdk-pixbuf-xlib, then you're strongly encouraged to port away from it. Package: libgdk-pixbuf-xlib-2.0-dev Description-md5: 31c0ec9e89d3c5842049e497a3b887b9 Description-en: GDK Pixbuf library (development files) This package contains the header files which are needed for using GDK Pixbuf's deprecated Xlib integration. . No newly written code should ever use this library. . If your existing code depends on gdk-pixbuf-xlib, then you're strongly encouraged to port away from it. Package: libgetfem++-dev Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libgetfem-dev Description-md5: a24b52cd1584dd6627ad4e3ed62631de Description-en: Development files for the GETFEM generic finite element library GETFEM is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. . This package contains development files for building software that uses the GETFEM library. Package: libgetfem5++ Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libgetfem5t64 Description-md5: 0c40bc13b699654ee45fee9ae331d722 Description-en: GETFEM generic finite element library GETFEM is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. Package: libghc-atomic-write-dev Description-md5: f38d5383dc20efba165e5154cc8a9322 Description-en: Atomically write to a file Atomically write to a file on POSIX-compliant systems while preserving permissions. . On most Unix systems, `mv` is an atomic operation. This makes it simple to write to a file atomically just by using the mv operation. However, this will destroy the permissions on the original file. This library does the following to preserve permissions while atomically writing to a file: . * If an original file exists, take those permissions and apply them to the temp file before `mv`ing the file into place. . * If the original file does not exist, create a following with default permissions (based on the currently-active umask). . This way, when the file is `mv`'ed into place, the permissions will be the ones held by the original file. . This library is based on similar implementations found in common libraries in Ruby and Python. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-atomic-write-doc Description-md5: 51891c67cea1f2b49f1954ddbf8262e3 Description-en: Atomically write to a file; documentation Atomically write to a file on POSIX-compliant systems while preserving permissions. . On most Unix systems, `mv` is an atomic operation. This makes it simple to write to a file atomically just by using the mv operation. However, this will destroy the permissions on the original file. This library does the following to preserve permissions while atomically writing to a file: . * If an original file exists, take those permissions and apply them to the temp file before `mv`ing the file into place. . * If the original file does not exist, create a following with default permissions (based on the currently-active umask). . This way, when the file is `mv`'ed into place, the permissions will be the ones held by the original file. . This library is based on similar implementations found in common libraries in Ruby and Python. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-atomic-write-prof Description-md5: 37dbb53e304319bef17548051e9006e4 Description-en: Atomically write to a file; profiling libraries Atomically write to a file on POSIX-compliant systems while preserving permissions. . On most Unix systems, `mv` is an atomic operation. This makes it simple to write to a file atomically just by using the mv operation. However, this will destroy the permissions on the original file. This library does the following to preserve permissions while atomically writing to a file: . * If an original file exists, take those permissions and apply them to the temp file before `mv`ing the file into place. . * If the original file does not exist, create a following with default permissions (based on the currently-active umask). . This way, when the file is `mv`'ed into place, the permissions will be the ones held by the original file. . This library is based on similar implementations found in common libraries in Ruby and Python. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-dev Description-md5: db7a339d12280bb40150b54d13e43123 Description-en: authentication methods for Haskell web applications Focus is on third-party authentication methods, such as OpenID, rpxnow and Facebook. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-doc Description-md5: 9b155a7612b38c6072086f726718ca58 Description-en: authentication methods for Haskell web applications; documentation Focus is on third-party authentication methods, such as OpenID, rpxnow and Facebook. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-oauth-dev Description-md5: b576f6c96c4b856ffbdc5c64eebb0b38 Description-en: authenticate with OAuth for Haskell web applications OAuth authentication support. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-oauth-doc Description-md5: f6344ba41de752885329703c1e708e7a Description-en: authenticate with OAuth for Haskell web applications; documentation OAuth authentication support. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-oauth-prof Description-md5: 942e66c0997aec209bec20557342384b Description-en: authenticate with OAuth for Haskell web applications; profiling libraries OAuth authentication support. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-authenticate-prof Description-md5: 38b8c4fb44586c282fd2b94bdd2990ca Description-en: authentication methods for Haskell web applications; profiling libraries Focus is on third-party authentication methods, such as OpenID, rpxnow and Facebook. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-aws-dev Description-md5: 06beb8fbfc468fbf4a1985a89e05d83f Description-en: Amazon Web Services for Haskell This package provides Haskell interfaces for using Amazon Web Services like S3 (storage), SQS (queuing) and others. The ultimate goal is to support all Amazon Web Services. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-aws-doc Description-md5: cce87fcbadcb9f3770f4e93c663445a7 Description-en: Amazon Web Services for Haskell; documentation This package provides Haskell interfaces for using Amazon Web Services like S3 (storage), SQS (queuing) and others. The ultimate goal is to support all Amazon Web Services. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-aws-prof Description-md5: eb0c6a850c6846efc25edc9348753dbf Description-en: Amazon Web Services for Haskell; profiling libraries This package provides Haskell interfaces for using Amazon Web Services like S3 (storage), SQS (queuing) and others. The ultimate goal is to support all Amazon Web Services. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-brick-dev Description-md5: f49075c6f0d05ae9a07f776a83ca686d Description-en: declarative terminal user interface library Write terminal applications painlessly with brick! You write an event handler and a drawing function and the library does the rest. . Brick is the successor to vty-ui. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-brick-doc Description-md5: 62fab21a8724bcc1c5b302e90e770287 Description-en: declarative terminal user interface library; documentation Write terminal applications painlessly with brick! You write an event handler and a drawing function and the library does the rest. . Brick is the successor to vty-ui. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-brick-prof Description-md5: 073f5ed810abcd11442622f3337e98a1 Description-en: declarative terminal user interface library; profiling libraries Write terminal applications painlessly with brick! You write an event handler and a drawing function and the library does the rest. . Brick is the successor to vty-ui. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-client-dev Description-md5: 816a03133e05514a21a86f41e4d2d274 Description-en: client for Casa This Haskell library implements a client for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-client-doc Description-md5: b3b9b2ce8ce74b55d334529047486d69 Description-en: client for Casa; documentation This Haskell library implements a client for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-client-prof Description-md5: a1bd79ee54d7e8f569e99d35aea3753d Description-en: client for Casa; profiling libraries This Haskell library implements a client for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-types-dev Description-md5: a6f72814516b990459ba9a543562118e Description-en: types for Casa This Haskell library implements types for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-types-doc Description-md5: 2ea744d77cc6d59970762375354b1f64 Description-en: types for Casa; documentation This Haskell library implements types for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-casa-types-prof Description-md5: 4628db6dab27a054fd9b0f6199c62b63 Description-en: types for Casa; profiling libraries This Haskell library implements types for Casa, a Content-Addressable Storage Archive provided by FP Complete. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-cairo-dev Description-md5: 56cc9957e202f7a5a3c6b8d906336891 Description-en: Cairo backend for Charts Chart is a library for generating 2D Charts and Plots. . This is the backend using the cairo library. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-cairo-doc Description-md5: bed70316d9001dc0e871a629bd239d94 Description-en: Cairo backend for Charts; documentation Chart is a library for generating 2D Charts and Plots. . This is the backend using the cairo library. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-cairo-prof Description-md5: 1ea9410754236548e86ccf8a70dbb61d Description-en: Cairo backend for Charts; profiling libraries Chart is a library for generating 2D Charts and Plots. . This is the backend using the cairo library. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-dev Description-md5: 725a98648d8aa362c67f442afdd9d288 Description-en: library for generating 2D Charts and Plots Chart is a library for generating 2D Charts and Plots. There are backends available for Cairo and Diagrams. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-doc Description-md5: e7d9e20b704dfa288dd5b4843ea226d7 Description-en: library for generating 2D Charts and Plots; documentation Chart is a library for generating 2D Charts and Plots. There are backends available for Cairo and Diagrams. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-chart-prof Description-md5: b5a44d978c40273d28472018e879e7d2 Description-en: library for generating 2D Charts and Plots; profiling libraries Chart is a library for generating 2D Charts and Plots. There are backends available for Cairo and Diagrams. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-citeproc-dev Description-md5: bc9e47fcfe77173f9b960829845913b9 Description-en: Generates citations and bibliography from CSL styles citeproc parses CSL style files and uses them to generate a list of formatted citations and bibliography entries. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-citeproc-doc Description-md5: 7ff9c92167afaa616320e5a0fcdfa995 Description-en: Generates citations and bibliography from CSL styles; documentation citeproc parses CSL style files and uses them to generate a list of formatted citations and bibliography entries. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-citeproc-prof Description-md5: 873764fc67a80570dd089486864e642c Description-en: Generates citations and bibliography from CSL styles; profiling libraries citeproc parses CSL style files and uses them to generate a list of formatted citations and bibliography entries. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-ghc-dev Description-md5: dedc3193efe84d3008c5ba90b9c0bb19 Description-en: Clash: functional hardware descr. language - GHC frontend Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-ghc-doc Description-md5: e0bebbbb83777b65e2e7906d1acf84cc Description-en: Clash: functional hardware descr. language - GHC frontend; documentation Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-ghc-prof Description-md5: 86034f447311bdf4c404826548eb4034 Description-en: Clash: functional hardware descr. language - GHC frontend; profiling libraries Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-lib-dev Description-md5: 702ddf18b0f52d57125fc3f9e3f87f6a Description-en: Functional hardware description language - library Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * The CoreHW internal language: SystemF + Letrec + Case-decomposition . * The normalisation process that brings CoreHW in a normal form that can be converted to a netlist . * Blackbox/Primitive Handling . Front-ends (for: parsing, typecheck, etc.) are provided by separate packages: . * . * . Prelude library: . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-lib-doc Description-md5: 31f570495247418b9d96532394e386a8 Description-en: Functional hardware description language - library; documentation Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * The CoreHW internal language: SystemF + Letrec + Case-decomposition . * The normalisation process that brings CoreHW in a normal form that can be converted to a netlist . * Blackbox/Primitive Handling . Front-ends (for: parsing, typecheck, etc.) are provided by separate packages: . * . * . Prelude library: . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-lib-prof Description-md5: fd537f495eb9806559ceefd602363a7a Description-en: Functional hardware description language - library; profiling libraries Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * The CoreHW internal language: SystemF + Letrec + Case-decomposition . * The normalisation process that brings CoreHW in a normal form that can be converted to a netlist . * Blackbox/Primitive Handling . Front-ends (for: parsing, typecheck, etc.) are provided by separate packages: . * . * . Prelude library: . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-prelude-dev Description-md5: 46a8938f9aa8b216961109ef25336966 Description-en: Functional hardware description language - Prelude library Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Prelude library containing datatypes and functions for circuit design . To use the library: . * Import "Clash.Prelude" . * Alternatively, if you want to explicitly route clock and reset ports, for more straightforward multi-clock designs, you can import the "Clash.Explicit.Prelude" module. Note that you should not import "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they have overlapping definitions. . A preliminary version of a tutorial can be found in "Clash.Tutorial", for a general overview of the library you should however check out "Clash.Prelude". Some circuit examples can be found in "Clash.Examples". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-prelude-doc Description-md5: a4a232acd32bffc741a2b8258218a427 Description-en: Functional hardware description language - Prelude library; documentation Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Prelude library containing datatypes and functions for circuit design . To use the library: . * Import "Clash.Prelude" . * Alternatively, if you want to explicitly route clock and reset ports, for more straightforward multi-clock designs, you can import the "Clash.Explicit.Prelude" module. Note that you should not import "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they have overlapping definitions. . A preliminary version of a tutorial can be found in "Clash.Tutorial", for a general overview of the library you should however check out "Clash.Prelude". Some circuit examples can be found in "Clash.Examples". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-prelude-prof Description-md5: 74f88b3a7c324a2e57b126803a83c797 Description-en: Functional hardware description language - Prelude library; profiling libraries Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Prelude library containing datatypes and functions for circuit design . To use the library: . * Import "Clash.Prelude" . * Alternatively, if you want to explicitly route clock and reset ports, for more straightforward multi-clock designs, you can import the "Clash.Explicit.Prelude" module. Note that you should not import "Clash.Prelude" and "Clash.Explicit.Prelude" at the same time as they have overlapping definitions. . A preliminary version of a tutorial can be found in "Clash.Tutorial", for a general overview of the library you should however check out "Clash.Prelude". Some circuit examples can be found in "Clash.Examples". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-conduit-dev Description-md5: 018ecaa2aa1b56a2a050b502d37b8a2d Description-en: conduit instances for classy-prelude classy-prelude-conduit contains conduit instances for classy-prelude. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-conduit-doc Description-md5: c0b9cd6ac9178a3805f2271dea3649c3 Description-en: conduit instances for classy-prelude; documentation classy-prelude-conduit contains conduit instances for classy-prelude. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-conduit-prof Description-md5: 092bb737752dd619cf767ca74c1c9884 Description-en: conduit instances for classy-prelude; profiling libraries classy-prelude-conduit contains conduit instances for classy-prelude. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-dev Description-md5: ca7f6e211ec88701b28c606b02e00aaf Description-en: typeclass-based prelude Focuses on using common typeclasses when possible, and creating new ones to avoid name clashing. Exposes many recommended datastructures (Map, ByteString, etc) directly without requiring long import lists and qualified modules. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-doc Description-md5: 1f2641e220bcab8852cd54b5c3519c0a Description-en: typeclass-based prelude; documentation Focuses on using common typeclasses when possible, and creating new ones to avoid name clashing. Exposes many recommended datastructures (Map, ByteString, etc) directly without requiring long import lists and qualified modules. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-classy-prelude-prof Description-md5: ed874b5ab6cd84eceeccc1eba7499b39 Description-en: typeclass-based prelude; profiling libraries Focuses on using common typeclasses when possible, and creating new ones to avoid name clashing. Exposes many recommended datastructures (Map, ByteString, etc) directly without requiring long import lists and qualified modules. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-dev Description-md5: c376aeac25bcd801e600992b5dd67dbd Description-en: Pure Haskell commonmark parser This library provides the core data types and functions for parsing commonmark (). The parser is fully commonmark-compliant and passes the test suite. It is designed to be customizable and easily extensible. To customize the output, create an AST, or support a new output format, one need only define some new typeclass instances. It is also easy to add new syntax elements or modify existing ones. . Accurate information about source positions is available for all block and inline elements. Thus the library can be used to create an accurate syntax highlighter or an editor with live preview. . The parser has been designed for robust performance even in pathological cases that tend to cause stack overflows or exponential slowdowns in other parsers, with parsing speed that varies linearly with input length. . Related packages: . - commonmark-extensions (which defines a number of syntax extensions) - commonmark-pandoc (which allows using this parser to create a Pandoc structure) - commonmark-cli (a command-line tool for converting and syntax-highlighting commonmark documents) . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-doc Description-md5: 2e573e40d8bbca18edbce5d5b7abf8ee Description-en: Pure Haskell commonmark parser; documentation This library provides the core data types and functions for parsing commonmark (). The parser is fully commonmark-compliant and passes the test suite. It is designed to be customizable and easily extensible. To customize the output, create an AST, or support a new output format, one need only define some new typeclass instances. It is also easy to add new syntax elements or modify existing ones. . Accurate information about source positions is available for all block and inline elements. Thus the library can be used to create an accurate syntax highlighter or an editor with live preview. . The parser has been designed for robust performance even in pathological cases that tend to cause stack overflows or exponential slowdowns in other parsers, with parsing speed that varies linearly with input length. . Related packages: . - commonmark-extensions (which defines a number of syntax extensions) - commonmark-pandoc (which allows using this parser to create a Pandoc structure) - commonmark-cli (a command-line tool for converting and syntax-highlighting commonmark documents) . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-extensions-dev Description-md5: 11cb177f7e489ad66f7635d6ad653f78 Description-en: Pure Haskell commonmark parser extensions This library provides some useful extensions to core commonmark syntax: smart quotes, definition lists, tables, footnotes, math, and more. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-extensions-doc Description-md5: 820f5b6076bce937cf12bba757dd7c27 Description-en: Pure Haskell commonmark parser extensions; documentation This library provides some useful extensions to core commonmark syntax: smart quotes, definition lists, tables, footnotes, math, and more. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-extensions-prof Description-md5: 847e6f2d7dc397fd762fca2768dc7546 Description-en: Pure Haskell commonmark parser extensions; profiling libraries This library provides some useful extensions to core commonmark syntax: smart quotes, definition lists, tables, footnotes, math, and more. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-pandoc-dev Description-md5: 95efc92fd76eb2ceeb3bbf85ebb8af49 Description-en: Bridge between commonmark and pandoc AST This library provides typeclasses for rendering commonmark to Pandoc types. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-pandoc-doc Description-md5: e1a6a389ecec607b0bc693089b485d24 Description-en: Bridge between commonmark and pandoc AST; documentation This library provides typeclasses for rendering commonmark to Pandoc types. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-pandoc-prof Description-md5: 85d576ccb3266d32646fb1525acc3280 Description-en: Bridge between commonmark and pandoc AST; profiling libraries This library provides typeclasses for rendering commonmark to Pandoc types. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-commonmark-prof Description-md5: 82997d612cf2318c5de718dd5706069b Description-en: Pure Haskell commonmark parser; profiling libraries This library provides the core data types and functions for parsing commonmark (). The parser is fully commonmark-compliant and passes the test suite. It is designed to be customizable and easily extensible. To customize the output, create an AST, or support a new output format, one need only define some new typeclass instances. It is also easy to add new syntax elements or modify existing ones. . Accurate information about source positions is available for all block and inline elements. Thus the library can be used to create an accurate syntax highlighter or an editor with live preview. . The parser has been designed for robust performance even in pathological cases that tend to cause stack overflows or exponential slowdowns in other parsers, with parsing speed that varies linearly with input length. . Related packages: . - commonmark-extensions (which defines a number of syntax extensions) - commonmark-pandoc (which allows using this parser to create a Pandoc structure) - commonmark-cli (a command-line tool for converting and syntax-highlighting commonmark documents) . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-configurator-dev Description-md5: fa695ff157bdbcfc012ed6518f937491 Description-en: dynamic config file reloading A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the normal library files. Package: libghc-configurator-doc Description-md5: 231eaefc0da74eac6c4fd32eb30f5cc1 Description-en: dynamic config file reloading; documentation A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the documentation files. Package: libghc-configurator-prof Description-md5: 41006f856601fca1ec29ef3d2460b143 Description-en: dynamic config file reloading; profiling libraries A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the libraries compiled with profiling enabled. Package: libghc-cookie-dev Description-md5: 2f51ec644c83edae5b2c928a79cd0453 Description-en: HTTP cookie-parsing and rendering library It contains Web.Cookie. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cookie-doc Description-md5: 0399d9e1f6a3ccad8007d1b25c8be5d5 Description-en: HTTP cookie-parsing and rendering library; documentation It contains Web.Cookie. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cookie-prof Description-md5: 915b6bdf5002608e658b05b33eeb5296 Description-en: HTTP cookie-parsing and rendering library; profiling libraries It contains Web.Cookie. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-dev Description-md5: cf9c0a460f6e791c0e57d0ca1d6e8e3f Description-en: Stream DSL for writing embedded C programs. Copilot is a stream-based runtime verification framework implemented as an embedded domain-specific language (EDSL) in Haskell. Programs can be interpreted for testing, or translated into C99 code to be incorporated in a project, or as a standalone application. The C99 backend output is constant in memory and time, making it suitable for systems with hard realtime requirements. . This package is the main entry-point for using Copilot. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-doc Description-md5: abaa57e51c390be5d8819b414dad139e Description-en: Stream DSL for writing embedded C programs.; documentation Copilot is a stream-based runtime verification framework implemented as an embedded domain-specific language (EDSL) in Haskell. Programs can be interpreted for testing, or translated into C99 code to be incorporated in a project, or as a standalone application. The C99 backend output is constant in memory and time, making it suitable for systems with hard realtime requirements. . This package is the main entry-point for using Copilot. . A tutorial, examples, and other information are available at . . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-language-dev Description-md5: 2b6cfd1e07f7d127575434b8f1f03ac0 Description-en: DSL for monitoring hard real-time distributed systems. The concrete syntax for Copilot. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-language-doc Description-md5: 5c64d147910a0fc1bf15f4b46393a64e Description-en: DSL for monitoring hard real-time distributed systems.; documentation The concrete syntax for Copilot. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-language-prof Description-md5: 9408182a59ec27f13be92cf111239268 Description-en: DSL for monitoring hard real-time distributed systems.; profiling libraries The concrete syntax for Copilot. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-libraries-dev Description-md5: 1819d9ed8abd47168dc8516e3c0e3c3a Description-en: Libraries for the Copilot language. Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-libraries-doc Description-md5: ad7349d31b6f303deab2a3430609e558 Description-en: Libraries for the Copilot language.; documentation Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-libraries-prof Description-md5: 373d88e15cdd866b8cdee7850d3dc63c Description-en: Libraries for the Copilot language.; profiling libraries Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-prof Description-md5: 364dbfec16b50d67a55cf388dd5a5de1 Description-en: Stream DSL for writing embedded C programs.; profiling libraries Copilot is a stream-based runtime verification framework implemented as an embedded domain-specific language (EDSL) in Haskell. Programs can be interpreted for testing, or translated into C99 code to be incorporated in a project, or as a standalone application. The C99 backend output is constant in memory and time, making it suitable for systems with hard realtime requirements. . This package is the main entry-point for using Copilot. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-theorem-dev Description-md5: 6c922b3e178550cdaae179191fb949ec Description-en: k-induction for Copilot. Some tools to prove properties on Copilot programs with k-induction model checking. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-theorem-doc Description-md5: 00a10fc284b030084d3270da9fc69c55 Description-en: k-induction for Copilot.; documentation Some tools to prove properties on Copilot programs with k-induction model checking. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-copilot-theorem-prof Description-md5: 0e7549443f808b00ba257830b38a07e2 Description-en: k-induction for Copilot.; profiling libraries Some tools to prove properties on Copilot programs with k-induction model checking. . Copilot is a stream (i.e., infinite lists) domain-specific language (DSL) in Haskell that compiles into embedded C. Copilot contains an interpreter, multiple back-end compilers, and other verification tools. . A tutorial, examples, and other information are available at . . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-criterion-dev Description-md5: 9627f285144ed6557a1fbb495e0c9888 Description-en: Robust, reliable performance measurement and analysis This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-criterion-doc Description-md5: a0ba6a22a90a4e0ea8bbefd4468d6e3e Description-en: Robust, reliable performance measurement and analysis; documentation This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-criterion-prof Description-md5: 53cc7205089e6057971fc61f945e655d Description-en: Robust, reliable performance measurement and analysis; profiling libraries This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-connection-dev Description-md5: 214512f4c932bca625d5aeaecda019c9 Description-en: simple and easy network connections API Simple network library for all your connection needs. This library provides a very simple API to create sockets to a destination with the choice of SSL/TLS, and SOCKS. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-connection-doc Description-md5: 61e1023f9fdf346a893e44fa820f13e8 Description-en: simple and easy network connections API; documentation Simple network library for all your connection needs. This library provides a very simple API to create sockets to a destination with the choice of SSL/TLS, and SOCKS. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-connection-prof Description-md5: d3eba47e11746ba337864dfb1f01a444 Description-en: simple and easy network connections API; profiling libraries Simple network library for all your connection needs. This library provides a very simple API to create sockets to a destination with the choice of SSL/TLS, and SOCKS. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-x509-validation-dev Description-md5: 99fa622b3421fbdd3eaa5e66d8fd2194 Description-en: X.509 certificate and CRL validation X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-x509-validation-doc Description-md5: c632db270009abcdbd0b081cf88979b8 Description-en: X.509 certificate and CRL validation; documentation X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypton-x509-validation-prof Description-md5: e11189e66ad39bf596b2fcd7b3a9915c Description-en: X.509 certificate and CRL validation; profiling libraries X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cryptostore-dev Description-md5: 88becf1247c95591a3525cb671d51ad4 Description-en: Serialization of cryptographic data types Haskell implementation of PKCS #8, PKCS #12 and CMS (Cryptographic Message Syntax). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cryptostore-doc Description-md5: 39a42802423e70492702c6056bcf67c4 Description-en: Serialization of cryptographic data types; documentation Haskell implementation of PKCS #8, PKCS #12 and CMS (Cryptographic Message Syntax). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cryptostore-prof Description-md5: f196ce1c4b9798867211a2f5b58cd0c9 Description-en: Serialization of cryptographic data types; profiling libraries Haskell implementation of PKCS #8, PKCS #12 and CMS (Cryptographic Message Syntax). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-class-dev Description-md5: c748de8287b67b557fd6308c4d0a84e5 Description-en: type class for default values This package defines a type class Default for types with a default value. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-class-doc Description-md5: c8b20feef2840e34359c6f3f245ea7ac Description-en: type class for default values; documentation This package defines a type class Default for types with a default value. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-class-prof Description-md5: 370cbc845118f72d54908e35c33c9331 Description-en: type class for default values; profiling libraries This package defines a type class Default for types with a default value. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-dev Description-md5: 60f16b651a70df6a80bfb6def08b28db Description-en: class for types with a default value It provides a class for types with a default value. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-doc Description-md5: 1bb51ca708185a4e5af0c210fb0ea14d Description-en: class for types with a default value; documentation It provides a class for types with a default value. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-base-dev Description-md5: 7d541ccfb306b18d51db5177f718d586 Description-en: default instances for types in base This module defines Default instances for the types Int, Int8, Int16, Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float, Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any, All, Last, First, Sum, Product, Endo, Dual, and tuples. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-base-doc Description-md5: 67523ef999755b94ef38e98bbc57cac0 Description-en: default instances for types in base; documentation This module defines Default instances for the types Int, Int8, Int16, Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float, Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any, All, Last, First, Sum, Product, Endo, Dual, and tuples. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-base-prof Description-md5: 658e4c999422b7e70beb988ec7a69ad1 Description-en: default instances for types in base; profiling libraries This module defines Default instances for the types Int, Int8, Int16, Int32, Int64, Word, Word8, Word16, Word32, Word64, Integer, Float, Double, Ratio, Complex, '(->)', IO, Maybe, '()', '[]', Ordering, Any, All, Last, First, Sum, Product, Endo, Dual, and tuples. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-containers-dev Description-md5: cdee040cfaa3a6b5bd7289a830901b0d Description-en: default instances for types in containers The included module defines Default instances for the types Set, Map, IntMap, IntSet, Seq, and Tree. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-containers-doc Description-md5: a867f723039474068449f44e8ca7d51b Description-en: default instances for types in containers; documentation The included module defines Default instances for the types Set, Map, IntMap, IntSet, Seq, and Tree. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-containers-prof Description-md5: a4182a8eb2089a8a33bced223682b544 Description-en: default instances for types in containers; profiling libraries The included module defines Default instances for the types Set, Map, IntMap, IntSet, Seq, and Tree. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-dlist-dev Description-md5: 7f0cd9bb888a7048b025ed2f1a81f4aa Description-en: default instances for types in dlist The included module defines Default instances for the type DList. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-dlist-doc Description-md5: f6f2c62c0ae0e5727ddf4d649fbb84c1 Description-en: default instances for types in dlist; documentation The included module defines Default instances for the type DList. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-dlist-prof Description-md5: c1a2ca3ecb1144427c90ad8110d2a2bc Description-en: default instances for types in dlist; profiling libraries The included module defines Default instances for the type DList. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-old-locale-dev Description-md5: c9cb59c25f8ac29e52e5119f9167d9d8 Description-en: default instances for types in old-locale The included module defines Default instances for the type TimeLocale. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-old-locale-doc Description-md5: e855e9002ea3f48c0dc1343ade361fa6 Description-en: default instances for types in old-locale; documentation The included module defines Default instances for the type TimeLocale. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-instances-old-locale-prof Description-md5: a30e084c24002649f1687332d7aed1cd Description-en: default instances for types in old-locale; profiling libraries The included module defines Default instances for the type TimeLocale. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-default-prof Description-md5: e0761fffda8bf3f8b067e955d8e0ac2f Description-en: class for types with a default value; profiling libraries It provides a class for types with a default value. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dav-dev Description-md5: 3b7b6035e09249da6a396d22594d7c14 Description-en: RFC 4918 WebDAV support This is a library for the Web Distributed Authoring and Versioning (WebDAV) extensions to HTTP. At present it supports a very small subset of client functionality. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dav-doc Description-md5: 806873426d1ff506bd04c290f84eede1 Description-en: RFC 4918 WebDAV support; documentation This is a library for the Web Distributed Authoring and Versioning (WebDAV) extensions to HTTP. At present it supports a very small subset of client functionality. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dav-prof Description-md5: 6dfc1795ccbea6e6235f1e50d2ab8729 Description-en: RFC 4918 WebDAV support; profiling libraries This is a library for the Web Distributed Authoring and Versioning (WebDAV) extensions to HTTP. At present it supports a very small subset of client functionality. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-dev Description-md5: e3b02e9a193a4ea6ef5a50573c95e537 Description-en: Haskell implementation of D-Bus D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-doc Description-md5: 52476b556c4ba822df8c8b730fbef083 Description-en: Haskell implementation of D-Bus; documentation D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-hslogger-dev Description-md5: 2d77f3e08fba83f3d3e4e290c6b21407 Description-en: expose a dbus server to control hslogger dbus-hslogger exports: setLogLevel, setLogLevelMethodCall, maybeToEither, setLogLevelFromPriorityString, setLogLevel, logInterface, logPath, startLogServer, and logIntrospectionInterface. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-hslogger-doc Description-md5: ed96550b501a297e68c43b2e1bcee5ab Description-en: expose a dbus server to control hslogger; documentation dbus-hslogger exports: setLogLevel, setLogLevelMethodCall, maybeToEither, setLogLevelFromPriorityString, setLogLevel, logInterface, logPath, startLogServer, and logIntrospectionInterface. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-hslogger-prof Description-md5: 3f9844e2b45b2002899e75431f804556 Description-en: expose a dbus server to control hslogger; profiling libraries dbus-hslogger exports: setLogLevel, setLogLevelMethodCall, maybeToEither, setLogLevelFromPriorityString, setLogLevel, logInterface, logPath, startLogServer, and logIntrospectionInterface. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-prof Description-md5: 23ac35eb60e06e1b7678302ed1909eef Description-en: Haskell implementation of D-Bus; profiling libraries D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-debian-dev Description-md5: 3480d92c17c5aad18a997079bd60327f Description-en: Haskell library for working with the Debian package system This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-debian-doc Description-md5: 4b546e08b204372b5d15b5f6527d23a2 Description-en: Documentation for Debian package system library; documentation This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-debian-prof Description-md5: 5552c1e47b47dbc06b3951992e20fc47 Description-en: Profiling library for working with the Debian package system; profiling libraries This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dense-linear-algebra-dev Description-md5: c1dfd0035a2c0b5556083ac3301a76a2 Description-en: pure Haskell implementation of linear algebra This library is a simple and incomplete collection of linear-algebra related modules split out from the statistics library. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dense-linear-algebra-doc Description-md5: ffd0e21d35a01f4d8772a51d549b4989 Description-en: pure Haskell implementation of linear algebra; documentation This library is a simple and incomplete collection of linear-algebra related modules split out from the statistics library. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dense-linear-algebra-prof Description-md5: eef1cbe1bed3061074ca67360b155d7d Description-en: pure Haskell implementation of linear algebra; profiling libraries This library is a simple and incomplete collection of linear-algebra related modules split out from the statistics library. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dhall-dev Description-md5: 2d9c0566a374ad21fc4f091b93b748e0 Description-en: configuration language guaranteed to terminate Dhall is an explicitly-typed configuration language that is not Turing complete. Despite being Turing-incomplete, Dhall is a real programming language with a type-checker and evaluator. . Use this library to parse, type-check, evaluate, and pretty-print the Dhall configuration language. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dhall-doc Description-md5: 9aa16848215f7a53004ed3305e955194 Description-en: configuration language guaranteed to terminate; documentation Dhall is an explicitly-typed configuration language that is not Turing complete. Despite being Turing-incomplete, Dhall is a real programming language with a type-checker and evaluator. . Use this library to parse, type-check, evaluate, and pretty-print the Dhall configuration language. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dhall-prof Description-md5: 9cbdf5116384429ab70d441a93a87c23 Description-en: configuration language guaranteed to terminate; profiling libraries Dhall is an explicitly-typed configuration language that is not Turing complete. Despite being Turing-incomplete, Dhall is a real programming language with a type-checker and evaluator. . Use this library to parse, type-check, evaluate, and pretty-print the Dhall configuration language. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-cairo-dev Description-md5: fd26bbf92a45e9384c402b6ad6a1f0f0 Description-en: Cairo backend for the diagrams drawing EDSL A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-cairo-doc Description-md5: 85d709dfb66a438f54a294e9d6f26edd Description-en: Cairo backend for the diagrams drawing EDSL; documentation A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-cairo-prof Description-md5: e424030d69d64e2331569374e83c18d8 Description-en: Cairo backend for the diagrams drawing EDSL; profiling libraries A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-lib-dev Description-md5: 121c4f37dcb4b3cb6df70c2c4facf80a Description-en: Embedded domain-specific language for declarative graphics Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-lib-doc Description-md5: c63c4e5af6360828aea7855479d9054d Description-en: Embedded domain-specific language for declarative graphics; documentation Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-lib-prof Description-md5: c96412c82a33e6a42b98e905a340c266 Description-en: Embedded domain-specific language for declarative graphics; profiling libraries Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-svg-dev Description-md5: 92407c4a6a4824131af2edbd15f72393 Description-en: SVG backend for diagrams drawing EDSL This package provides a modular backend for rendering diagrams created with the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell backend. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-svg-doc Description-md5: 506fcd6d177f21100d4b211d2136493a Description-en: SVG backend for diagrams drawing EDSL; documentation This package provides a modular backend for rendering diagrams created with the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell backend. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-svg-prof Description-md5: c2f435019d1b540ec223c0114ed093e1 Description-en: SVG backend for diagrams drawing EDSL; profiling libraries This package provides a modular backend for rendering diagrams created with the diagrams EDSL using SVG. It uses blaze-svg to be a fast, pure-Haskell backend. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dice-dev Description-md5: cbbf0e6a11b9bbaffb254388db52fd4b Description-en: simplistic D&D style dice-rolling system Simplistic D&D style dice-rolling system. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dice-doc Description-md5: 380ac23b3b2368a0e897f78987f1201e Description-en: simplistic D&D style dice-rolling system; documentation Simplistic D&D style dice-rolling system. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dice-prof Description-md5: 21f1530d971d97083f66676e78b4b812 Description-en: simplistic D&D style dice-rolling system; profiling libraries Simplistic D&D style dice-rolling system. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-doctest-dev Description-md5: 23ea99d7443559a14a7c1e4e32ff45b4 Description-en: test interactive Haskell examples The doctest program checks examples in source code comments. It is modeled after doctest for Python (). . Documentation is at . . This package contains the normal library files. Package: libghc-doctest-doc Description-md5: 62008ffe44c09269d7578ae29990343f Description-en: test interactive Haskell examples; documentation The doctest program checks examples in source code comments. It is modeled after doctest for Python (). . Documentation is at . . This package contains the documentation files. Package: libghc-doctest-parallel-dev Description-md5: 649228d8641fa4cd4f0d42c561100d50 Description-en: Test interactive Haskell examples The doctest program checks examples in source code comments. It is modeled after doctest for Python (https://docs.python.org/3/library/doctest.html). . Documentation is at https://github.com/martijnbastiaan/doctest-parallel#readme. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-doctest-parallel-doc Description-md5: 799ed67c0ebceabbde4861459f948a7a Description-en: Test interactive Haskell examples; documentation The doctest program checks examples in source code comments. It is modeled after doctest for Python (https://docs.python.org/3/library/doctest.html). . Documentation is at https://github.com/martijnbastiaan/doctest-parallel#readme. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-doctest-parallel-prof Description-md5: 35336a5d88b5139f46dec90163c97513 Description-en: Test interactive Haskell examples; profiling libraries The doctest program checks examples in source code comments. It is modeled after doctest for Python (https://docs.python.org/3/library/doctest.html). . Documentation is at https://github.com/martijnbastiaan/doctest-parallel#readme. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-doctest-prof Description-md5: ef8d1966b770eb5ef9c7bccd962f5b49 Description-en: test interactive Haskell examples; profiling libraries The doctest program checks examples in source code comments. It is modeled after doctest for Python (). . Documentation is at . . This package contains the libraries compiled with profiling enabled. Package: libghc-esqueleto-dev Description-md5: be4f83256851c92cf79cde6cb59d3e1b Description-en: type-safe EDSL for SQL on persistent backends esqueleto is a bare bones, type-safe EDSL for SQL queries that works with unmodified persistent SQL backends. Its language closely resembles SQL, so you don't have to learn new concepts, just new syntax, and it's fairly easy to predict the generated SQL and optimize it for your backend. Most kinds of errors committed when writing SQL are caught as compile-time errors---although it is possible to write type-checked esqueleto queries that fail at runtime. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-esqueleto-doc Description-md5: d62ba84c07cc6f3ce0592a9a2acb6c13 Description-en: type-safe EDSL for SQL on persistent backends; documentation esqueleto is a bare bones, type-safe EDSL for SQL queries that works with unmodified persistent SQL backends. Its language closely resembles SQL, so you don't have to learn new concepts, just new syntax, and it's fairly easy to predict the generated SQL and optimize it for your backend. Most kinds of errors committed when writing SQL are caught as compile-time errors---although it is possible to write type-checked esqueleto queries that fail at runtime. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-esqueleto-prof Description-md5: 276e1192e08036e27b2cde88f14a79fd Description-en: type-safe EDSL for SQL on persistent backends; profiling libraries esqueleto is a bare bones, type-safe EDSL for SQL queries that works with unmodified persistent SQL backends. Its language closely resembles SQL, so you don't have to learn new concepts, just new syntax, and it's fairly easy to predict the generated SQL and optimize it for your backend. Most kinds of errors committed when writing SQL are caught as compile-time errors---although it is possible to write type-checked esqueleto queries that fail at runtime. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fast-logger-dev Description-md5: 3a5f3d7464d55baeb60ec0079acf4946 Description-en: a fast logging system Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fast-logger-doc Description-md5: 42687da8e52538e5b9dcc54c1969c721 Description-en: a fast logging system; documentation Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fast-logger-prof Description-md5: 6f69ac4e9abab2afa55559207ee9cc43 Description-en: a fast logging system; profiling libraries Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fb-dev Description-md5: 1f38fd79ea3a66e6c8cc5d817ddf986d Description-en: bindings to Facebook API This package exports bindings to Facebook's APIs. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fb-doc Description-md5: 4cde11aa73299d70e56e8e531d16bf91 Description-en: bindings to Facebook API; documentation This package exports bindings to Facebook's APIs. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fb-prof Description-md5: 1080093319b2d5ad2376adff340df40b Description-en: bindings to Facebook API; profiling libraries This package exports bindings to Facebook's APIs. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fdo-notify-dev Description-md5: f8cdb4d0776f9a695a5c04807ea2c6f2 Description-en: Haskell desktop notifications A library for issuing notifications using FreeDesktop.org's Desktop Notifications protocol. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fdo-notify-doc Description-md5: 5f0862f3147748e02ff88e61fe08e0dc Description-en: Haskell desktop notifications; documentation A library for issuing notifications using FreeDesktop.org's Desktop Notifications protocol. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fdo-notify-prof Description-md5: 57eef64ca4617915292b282f36488139 Description-en: Haskell desktop notifications; profiling libraries A library for issuing notifications using FreeDesktop.org's Desktop Notifications protocol. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-feed-dev Description-md5: 1500f4e53a93aea98274575941f89964 Description-en: Haskell interface to RSS and Atom feeds This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-feed-doc Description-md5: 7ea5c577ad0c31e86f03194632a38d83 Description-en: Haskell interface to RSS and Atom feeds; documentation This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-feed-prof Description-md5: 36c3dbe88fa25ab965ff211f859c385a Description-en: Haskell interface to RSS and Atom feeds; profiling libraries This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-arbitrary-dev Description-md5: 49f9050030ccd9d1137b3d0c29a95a98 Description-en: QuickCheck support for fgl Provides Arbitrary instances for fgl graphs (to avoid adding a QuickCheck dependency for fgl whilst still making the instances available to others). . Also available are non-fgl-specific functions for generating graph-like data structures. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-arbitrary-doc Description-md5: d1f49a6974090fe13fed168cc773aa39 Description-en: QuickCheck support for fgl; documentation Provides Arbitrary instances for fgl graphs (to avoid adding a QuickCheck dependency for fgl whilst still making the instances available to others). . Also available are non-fgl-specific functions for generating graph-like data structures. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-arbitrary-prof Description-md5: ba844f522e9274f6414c3d815e9f383b Description-en: QuickCheck support for fgl; profiling libraries Provides Arbitrary instances for fgl graphs (to avoid adding a QuickCheck dependency for fgl whilst still making the instances available to others). . Also available are non-fgl-specific functions for generating graph-like data structures. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-dev Description-md5: 9f469abc234cc8cfab7a00edf0acd081 Description-en: Haskell graph library for GHC This is Martin Erwig's Functional Graph Library. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-doc Description-md5: b0df70da649dd1e2c380902df5ca11c4 Description-en: Haskell graph library for GHC; documentation This is Martin Erwig's Functional Graph Library. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-prof Description-md5: ff8e0bb0405d00439c70b35ba7145c0e Description-en: Haskell graph library for GHC; profiling libraries This is Martin Erwig's Functional Graph Library. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-visualize-dev Description-md5: 1af41be66e83e6ddc6d5530c912d983d Description-en: convert FGL graphs to dot (graphviz) files Convert FGL graphs to dot files for easy visualization using the 'dot' tool. Other visualizations might follow but there are no immediate plans (patches welcome). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-visualize-doc Description-md5: 81268c9356deb3a1bedfe51f0b57a6b2 Description-en: convert FGL graphs to dot (graphviz) files; documentation Convert FGL graphs to dot files for easy visualization using the 'dot' tool. Other visualizations might follow but there are no immediate plans (patches welcome). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fgl-visualize-prof Description-md5: 93365c22ef7804f4184fb36c3e8eab76 Description-en: convert FGL graphs to dot (graphviz) files; profiling libraries Convert FGL graphs to dot files for easy visualization using the 'dot' tool. Other visualizations might follow but there are no immediate plans (patches welcome). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-filemanip-dev Description-md5: e291b3e965bc767f0ca36956ddeb63ce Description-en: expressive file and directory manipulation for Haskell FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the development files. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-filemanip-doc Description-md5: 620114064bf64c0471d4ef2a0b51dee8 Description-en: expressive file and directory manipulation for Haskell; documentation FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the hyperlinked html documentation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-filemanip-prof Description-md5: fdb1d06fa23a05e3b0e74207bf4b5f36 Description-en: expressive file and directory manipulation for Haskell; profiling libraries FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the profiling libraries. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fold-debounce-dev Description-md5: c0f9407fd6d5a9867957a4d0bc6944ca Description-en: fold multiple events that happen in a time period Fold multiple events that happen in a given period of time. Debounce input events and regulate the frequency at which the action (callback) is executed. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fold-debounce-doc Description-md5: 3a368987ba74bb0245263a0251d5d518 Description-en: fold multiple events that happen in a time period; documentation Fold multiple events that happen in a given period of time. Debounce input events and regulate the frequency at which the action (callback) is executed. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fold-debounce-prof Description-md5: 1b67aaef23a52a4e83d765c2edfcbcd8 Description-en: fold multiple events that happen in a time period; profiling libraries Fold multiple events that happen in a given period of time. Debounce input events and regulate the frequency at which the action (callback) is executed. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fsnotify-dev Description-md5: 079460b6d636bb59e1c721bdfdddeef4 Description-en: file creation/modification/deletion notification Cross-platform library for file creation, modification, and deletion notification. This library builds upon existing libraries for platform-specific Window, Mac, and Linux filesystem event notification. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fsnotify-doc Description-md5: caf92c1e9a254504819a10587d6cf1f1 Description-en: file creation/modification/deletion notification; documentation Cross-platform library for file creation, modification, and deletion notification. This library builds upon existing libraries for platform-specific Window, Mac, and Linux filesystem event notification. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fsnotify-prof Description-md5: 947b69c2df1ed560d8ef581494bbf6be Description-en: file creation/modification/deletion notification; profiling libraries Cross-platform library for file creation, modification, and deletion notification. This library builds upon existing libraries for platform-specific Window, Mac, and Linux filesystem event notification. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-data-dev Description-md5: cc869dfe9e786ef7c9958cc5f0391214 Description-en: mplementation of the Futhark data format The Futhark compiler and its tools uses a simple external data representation to encode arrays and scalars. This package implements both a Haskell-level representation of these values, as well as utility functions for reading and writing values in both the textual and binary format. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-data-doc Description-md5: 75e0b9473c5960fb592ac71636251630 Description-en: mplementation of the Futhark data format; documentation The Futhark compiler and its tools uses a simple external data representation to encode arrays and scalars. This package implements both a Haskell-level representation of these values, as well as utility functions for reading and writing values in both the textual and binary format. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-data-prof Description-md5: cbad7e3d63ed534425b5c506965dbecb Description-en: mplementation of the Futhark data format; profiling libraries The Futhark compiler and its tools uses a simple external data representation to encode arrays and scalars. This package implements both a Haskell-level representation of these values, as well as utility functions for reading and writing values in both the textual and binary format. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-dev Description-md5: c45e25c311d9a09845b371204983c5a2 Description-en: library files for for Futhark programming language Futhark is a small programming language designed to be compiled to efficient parallel code. It is a statically typed, data-parallel, and purely functional array language in the ML family, and comes with a heavily optimising ahead-of-time compiler that presently generates GPU code via CUDA, HIP and OpenCL, although the language itself is hardware-agnostic. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-doc Description-md5: f888be22052424197f1f173f3956c108 Description-en: library files for for Futhark programming language; documentation Futhark is a small programming language designed to be compiled to efficient parallel code. It is a statically typed, data-parallel, and purely functional array language in the ML family, and comes with a heavily optimising ahead-of-time compiler that presently generates GPU code via CUDA, HIP and OpenCL, although the language itself is hardware-agnostic. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-manifest-dev Description-md5: 8582d2dc7e97df5f7475a84b22348213 Description-en: definition of Futhark manifests The Futhark compiler generates JSON manifest files that describe the C API of a compiled program. This package provides definitions for reading and writing such files. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-manifest-doc Description-md5: e681bae4e4d78614a04261bf462f729e Description-en: definition of Futhark manifests; documentation The Futhark compiler generates JSON manifest files that describe the C API of a compiled program. This package provides definitions for reading and writing such files. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-manifest-prof Description-md5: b5f98fdd825b3253308025f9d4715b7a Description-en: definition of Futhark manifests; profiling libraries The Futhark compiler generates JSON manifest files that describe the C API of a compiled program. This package provides definitions for reading and writing such files. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-prof Description-md5: c4716dc7159511ead59f0ad79e65b73f Description-en: library files for for Futhark programming language; profiling libraries Futhark is a small programming language designed to be compiled to efficient parallel code. It is a statically typed, data-parallel, and purely functional array language in the ML family, and comes with a heavily optimising ahead-of-time compiler that presently generates GPU code via CUDA, HIP and OpenCL, although the language itself is hardware-agnostic. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-server-dev Description-md5: 8e4297113abb8252a3059cd50793d66c Description-en: client implementation of the Futhark server protocol Provides an easy way to interact with a running Futhark server-mode program from a Haskell program. Provides both direct support of the protocol, as well as convenience functions for loading and extracting data. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-server-doc Description-md5: 0de146d3d965f1d4988459e16902174e Description-en: client implementation of the Futhark server protocol; documentation Provides an easy way to interact with a running Futhark server-mode program from a Haskell program. Provides both direct support of the protocol, as well as convenience functions for loading and extracting data. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-futhark-server-prof Description-md5: a6599cc48e12aaf2221b9e39c2ff6fbb Description-en: client implementation of the Futhark server protocol; profiling libraries Provides an easy way to interact with a running Futhark server-mode program from a Haskell program. Provides both direct support of the protocol, as well as convenience functions for loading and extracting data. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-exactprint-dev Description-md5: eee0312d5bbe19630fd2b87a93765ede Description-en: ExactPrint for GHC Using the API Annotations available from GHC 7.10.2, this library provides a means to round trip any code that can be compiled by GHC, currently excluding lhs files. . It does this with a phased approach: * Delta - converts GHC API Annotations into relative offsets, indexed by SrcSpan * Transform - functions to facilitate changes to the AST, adjusting the annotations generated in the Delta phase to suit the changes. * Print - converts an AST and its annotations to properly formatted source text. * Pretty - adds annotations to an AST (fragment) so that the output can be parsed back to the same AST. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-exactprint-doc Description-md5: f0dc40c3bbcc9f30908ebb30901126e0 Description-en: ExactPrint for GHC; documentation Using the API Annotations available from GHC 7.10.2, this library provides a means to round trip any code that can be compiled by GHC, currently excluding lhs files. . It does this with a phased approach: * Delta - converts GHC API Annotations into relative offsets, indexed by SrcSpan * Transform - functions to facilitate changes to the AST, adjusting the annotations generated in the Delta phase to suit the changes. * Print - converts an AST and its annotations to properly formatted source text. * Pretty - adds annotations to an AST (fragment) so that the output can be parsed back to the same AST. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-exactprint-prof Description-md5: 6ff32dea960c14540e82627e5826c263 Description-en: ExactPrint for GHC; profiling libraries Using the API Annotations available from GHC 7.10.2, this library provides a means to round trip any code that can be compiled by GHC, currently excluding lhs files. . It does this with a phased approach: * Delta - converts GHC API Annotations into relative offsets, indexed by SrcSpan * Transform - functions to facilitate changes to the AST, adjusting the annotations generated in the Delta phase to suit the changes. * Print - converts an AST and its annotations to properly formatted source text. * Pretty - adds annotations to an AST (fragment) so that the output can be parsed back to the same AST. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-tcplugins-extra-dev Description-md5: bc87efcba0f422ef61dbca221387326d Description-en: Utilities for writing GHC type-checker plugins Utilities for writing GHC type-checker plugins, such as creating constraints, with a stable API covering multiple GHC releases. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-tcplugins-extra-doc Description-md5: 965637fbfb6ea0f0cc073005064c824d Description-en: Utilities for writing GHC type-checker plugins; documentation Utilities for writing GHC type-checker plugins, such as creating constraints, with a stable API covering multiple GHC releases. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-tcplugins-extra-prof Description-md5: 64fb87948f35058b7bc5e6c08bc8389d Description-en: Utilities for writing GHC type-checker plugins; profiling libraries Utilities for writing GHC type-checker plugins, such as creating constraints, with a stable API covering multiple GHC releases. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-extra-dev Description-md5: c90a5bd0c7cf1bbdcf854c020cc574a8 Description-en: Additional type-level operations on GHC.TypeLits.Nat Operations: . * Max: type-level max . * Min: type-level min . * Div: type-level div . * Mod: type-level mod . * FLog: type-level equivalent of integerLogBase i.e. the exact integer equivalent to floor (logBase x y) . * CLog: type-level equivalent of the ceiling of integerLogBase i.e. the exact integer equivalent to ceiling (logBase x y) . * Log: type-level equivalent of integerLogBase where the operation only reduces when floor (logBase b x) ~ ceiling (logBase b x) . * GCD: a type-level gcd . * LCM: a type-level lcm . And a custom solver for the above operations defined in GHC.TypeLits.Extra.Solver as a GHC type-checker plugin. To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Extra.Solver . pragma to the header of your file. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-extra-doc Description-md5: 9acf04e4e2ff5ca0e906f035196f8ccf Description-en: Additional type-level operations on GHC.TypeLits.Nat; documentation Operations: . * Max: type-level max . * Min: type-level min . * Div: type-level div . * Mod: type-level mod . * FLog: type-level equivalent of integerLogBase i.e. the exact integer equivalent to floor (logBase x y) . * CLog: type-level equivalent of the ceiling of integerLogBase i.e. the exact integer equivalent to ceiling (logBase x y) . * Log: type-level equivalent of integerLogBase where the operation only reduces when floor (logBase b x) ~ ceiling (logBase b x) . * GCD: a type-level gcd . * LCM: a type-level lcm . And a custom solver for the above operations defined in GHC.TypeLits.Extra.Solver as a GHC type-checker plugin. To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Extra.Solver . pragma to the header of your file. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-extra-prof Description-md5: ae5fa13348826977fab533a2f80fa881 Description-en: Additional type-level operations on GHC.TypeLits.Nat; profiling libraries Operations: . * Max: type-level max . * Min: type-level min . * Div: type-level div . * Mod: type-level mod . * FLog: type-level equivalent of integerLogBase i.e. the exact integer equivalent to floor (logBase x y) . * CLog: type-level equivalent of the ceiling of integerLogBase i.e. the exact integer equivalent to ceiling (logBase x y) . * Log: type-level equivalent of integerLogBase where the operation only reduces when floor (logBase b x) ~ ceiling (logBase b x) . * GCD: a type-level gcd . * LCM: a type-level lcm . And a custom solver for the above operations defined in GHC.TypeLits.Extra.Solver as a GHC type-checker plugin. To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Extra.Solver . pragma to the header of your file. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-knownnat-dev Description-md5: d9c9232ed59922d32db1219348465777 Description-en: Derive KnownNat constraints from other KnownNat constraints A type checker plugin for GHC that can derive "complex" KnownNat constraints from other simple/variable KnownNat constraints. i.e. without this plugin, you must have both a KnownNat n and a KnownNat (n+2) constraint in the type signature of the following function: . f :: forall n . (KnownNat n, KnownNat (n+2)) => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . Using the plugin you can omit the KnownNat (n+2) constraint: . f :: forall n . KnownNat n => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . The plugin can derive KnownNat constraints for types consisting of: . * Type variables, when there is a corresponding KnownNat constraint . * Type-level naturals . * Applications of the arithmetic expression: +,-,*,^ . * Type functions, when there is either: . 1. a matching given KnownNat constraint; or . 2. a corresponding KnownNat instance for the type function . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.KnownNat.Solver . Pragma to the header of your file. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-knownnat-doc Description-md5: da32912a83e4b86f069a09f65b626a89 Description-en: Derive KnownNat constraints from other KnownNat constraints; documentation A type checker plugin for GHC that can derive "complex" KnownNat constraints from other simple/variable KnownNat constraints. i.e. without this plugin, you must have both a KnownNat n and a KnownNat (n+2) constraint in the type signature of the following function: . f :: forall n . (KnownNat n, KnownNat (n+2)) => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . Using the plugin you can omit the KnownNat (n+2) constraint: . f :: forall n . KnownNat n => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . The plugin can derive KnownNat constraints for types consisting of: . * Type variables, when there is a corresponding KnownNat constraint . * Type-level naturals . * Applications of the arithmetic expression: +,-,*,^ . * Type functions, when there is either: . 1. a matching given KnownNat constraint; or . 2. a corresponding KnownNat instance for the type function . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.KnownNat.Solver . Pragma to the header of your file. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-knownnat-prof Description-md5: cc7602b5185a229ce68a6a9570b8eda9 Description-en: Derive KnownNat constraints from other KnownNat constraints; profiling libraries A type checker plugin for GHC that can derive "complex" KnownNat constraints from other simple/variable KnownNat constraints. i.e. without this plugin, you must have both a KnownNat n and a KnownNat (n+2) constraint in the type signature of the following function: . f :: forall n . (KnownNat n, KnownNat (n+2)) => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . Using the plugin you can omit the KnownNat (n+2) constraint: . f :: forall n . KnownNat n => Proxy n -> Integer f _ = natVal (Proxy :: Proxy n) + natVal (Proxy :: Proxy (n+2)) . The plugin can derive KnownNat constraints for types consisting of: . * Type variables, when there is a corresponding KnownNat constraint . * Type-level naturals . * Applications of the arithmetic expression: +,-,*,^ . * Type functions, when there is either: . 1. a matching given KnownNat constraint; or . 2. a corresponding KnownNat instance for the type function . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.KnownNat.Solver . Pragma to the header of your file. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-natnormalise-dev Description-md5: 45beab7f700d4ba034f37fc192aa7896 Description-en: GHC typechecker plugin for types of kind GHC.TypeLits.Nat A type checker plugin for GHC that can solve equalities and inequalities of types of kind @Nat@, where these types are either: . * Type-level naturals . * Type variables . * Applications of the arithmetic expressions (+,-,*,^). . It solves these equalities by normalising them to sort-of SOP (Sum-of-Products) form, and then perform a simple syntactic equality. . For example, this solver can prove the equality between: . (x + 2)^(y + 2) . and . 4*x*(2 + x)^y + 4*(2 + x)^y + (2 + x)^y*x^2 . Because the latter is actually the SOP normal form of the former. . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Normalise . Pragma to the header of your file. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-natnormalise-doc Description-md5: 5ed9179dab9bcfc69639a76255a96a96 Description-en: GHC typechecker plugin for types of kind GHC.TypeLits.Nat; documentation A type checker plugin for GHC that can solve equalities and inequalities of types of kind @Nat@, where these types are either: . * Type-level naturals . * Type variables . * Applications of the arithmetic expressions (+,-,*,^). . It solves these equalities by normalising them to sort-of SOP (Sum-of-Products) form, and then perform a simple syntactic equality. . For example, this solver can prove the equality between: . (x + 2)^(y + 2) . and . 4*x*(2 + x)^y + 4*(2 + x)^y + (2 + x)^y*x^2 . Because the latter is actually the SOP normal form of the former. . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Normalise . Pragma to the header of your file. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-typelits-natnormalise-prof Description-md5: 7c1712bc7dc414334ec161f17901eb72 Description-en: GHC typechecker plugin for types of kind GHC.TypeLits.Nat; profiling libraries A type checker plugin for GHC that can solve equalities and inequalities of types of kind @Nat@, where these types are either: . * Type-level naturals . * Type variables . * Applications of the arithmetic expressions (+,-,*,^). . It solves these equalities by normalising them to sort-of SOP (Sum-of-Products) form, and then perform a simple syntactic equality. . For example, this solver can prove the equality between: . (x + 2)^(y + 2) . and . 4*x*(2 + x)^y + 4*(2 + x)^y + (2 + x)^y*x^2 . Because the latter is actually the SOP normal form of the former. . To use the plugin, add the . OPTIONS_GHC -fplugin GHC.TypeLits.Normalise . Pragma to the header of your file. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-atk-dev Description-md5: 538ddae505253a2c282f48664d167a1c Description-en: ATK bindings Bindings for ATK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-atk-doc Description-md5: d2cf84c2e9b7bbb3664d4386dcd36c1b Description-en: ATK bindings; documentation Bindings for ATK, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-atk-prof Description-md5: d88b4af9291d7e6a946b20b2ffbca5e1 Description-en: ATK bindings; profiling libraries Bindings for ATK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-connector-dev Description-md5: ee041b0ce37513036a47be33c54b6d02 Description-en: GI friendly Binding to the Cairo library This library contains glue code used to interconnect Haskell GI and Cairo . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-connector-doc Description-md5: 3df8a797084d4e500f117a2ce21b356a Description-en: GI friendly Binding to the Cairo library; documentation This library contains glue code used to interconnect Haskell GI and Cairo . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-connector-prof Description-md5: b11a8f8116aca3ff0006a7067609a38c Description-en: GI friendly Binding to the Cairo library; profiling libraries This library contains glue code used to interconnect Haskell GI and Cairo . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-dev Description-md5: 439bf94d38c8478a9f6cd5e92762c142 Description-en: Cairo bindings Bindings for Cairo, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-doc Description-md5: 373130cbcb85e22954e9cedd818fe1ae Description-en: Cairo bindings; documentation Bindings for Cairo, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-prof Description-md5: 4e485b8c4b21f0e7c9103efa78015a7f Description-en: Cairo bindings; profiling libraries Bindings for Cairo, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-render-dev Description-md5: bfc7c2fbe27f496fe5fc100f4501d69d Description-en: GI friendly Binding to the Cairo library. Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-render-doc Description-md5: 68c74e249d888901261b212c4b3c191f Description-en: GI friendly Binding to the Cairo library.; documentation Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-cairo-render-prof Description-md5: f7e719a67c1f92f546b1c182efc2a4e6 Description-en: GI friendly Binding to the Cairo library.; profiling libraries Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-dbusmenu-dev Description-md5: 2a212d81dcd74beb96a4255fc48eeb77 Description-en: DBusMenu bindings Bindings for libdbusmenu, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-dbusmenu-doc Description-md5: 073e4210fc3d242c064b8998639e162f Description-en: DBusMenu bindings; documentation Bindings for libdbusmenu, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-dbusmenu-prof Description-md5: 190a078b40fc4e3dda7eda40a6b6f41c Description-en: DBusMenu bindings; profiling libraries Bindings for libdbusmenu, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-freetype2-dev Description-md5: 9a6f1815fe42c9021ebfef6bcf230279 Description-en: freetype2 bindings Bindings for freetype2, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-freetype2-doc Description-md5: 32c1fa4351a4120ac87b137e0b3913c7 Description-en: freetype2 bindings; documentation Bindings for freetype2, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-freetype2-prof Description-md5: 254c92c403447355435e56e8e207e7ef Description-en: freetype2 bindings; profiling libraries Bindings for freetype2, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdk-dev Description-md5: e1e203cf84e63ff2d89cddc930c53376 Description-en: GDK bindings Bindings for GDK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdk-doc Description-md5: 4b87a1cbab96f55148f4c4339dcb9b48 Description-en: GDK bindings; documentation Bindings for GDK, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdk-prof Description-md5: 52975533ac8bac6ef43596599d514ab2 Description-en: GDK bindings; profiling libraries Bindings for GDK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkpixbuf-dev Description-md5: e659f966fe5381052b03204a17406906 Description-en: GdkPixbuf bindings Bindings for GdkPixbuf, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkpixbuf-doc Description-md5: b3eaa5c8f09e637944b64038c6f5a975 Description-en: GdkPixbuf bindings; documentation Bindings for GdkPixbuf, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkpixbuf-prof Description-md5: 57d7f7e5b5566ae75002365c9bf36cc5 Description-en: GdkPixbuf bindings; profiling libraries Bindings for GdkPixbuf, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkx11-dev Description-md5: f624511c3170f2c8d9eb92be4fd46d57 Description-en: GDKX11 bindings Bindings for GDKX11, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkx11-doc Description-md5: 389613de07bf4fb123781d7901228902 Description-en: GDKX11 bindings; documentation Bindings for GDKX11, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gdkx11-prof Description-md5: 1bee9ed39c924645337ba424ce341906 Description-en: GDKX11 bindings; profiling libraries Bindings for GDKX11, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gio-dev Description-md5: 24f3c44dfe5a1ba054469d973d81c84b Description-en: Gio bindings Bindings for Gio, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gio-doc Description-md5: bcd36be7ea3b90209ba130fa4e1ac8c8 Description-en: Gio bindings; documentation Bindings for Gio, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gio-prof Description-md5: e15259dda5db73b73fc28f1280494c02 Description-en: Gio bindings; profiling libraries Bindings for Gio, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-glib-dev Description-md5: 9558653845e3106ff8fe07c9de90eeba Description-en: GLib bindings Bindings for GLib, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-glib-doc Description-md5: 682eebc7ba56a021f988bb23f580bef6 Description-en: GLib bindings; documentation Bindings for GLib, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-glib-prof Description-md5: 5d26248ff2f043b36045b96b63919e17 Description-en: GLib bindings; profiling libraries Bindings for GLib, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gmodule-dev Description-md5: 4e27bdb63e22adcc2b402a2588becc25 Description-en: GModule bindings Bindings for GModule, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gmodule-doc Description-md5: 3de074e7b9ece25d937f11366eeb04d9 Description-en: GModule bindings; documentation Bindings for GModule, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gmodule-prof Description-md5: 4075051431a6655f48681966836798e0 Description-en: GModule bindings; profiling libraries Bindings for GModule, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gobject-dev Description-md5: 33064272988ea602ca5ab8db630a58f1 Description-en: GObject bindings Bindings for GObject, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gobject-doc Description-md5: 1f137cb41ae2ece0cfd8b1adcc2c539d Description-en: GObject bindings; documentation Bindings for GObject, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gobject-prof Description-md5: f6a3d9b75e67f45ccaeb84af8befb051 Description-en: GObject bindings; profiling libraries Bindings for GObject, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gtk-dev Description-md5: 7300ec81bae6a6d1a23667c2eaa2a748 Description-en: GTK bindings Bindings for GTK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gtk-doc Description-md5: 647e83ea0679d2373530da88a32856b3 Description-en: GTK bindings; documentation Bindings for GTK, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-gtk-prof Description-md5: e93b2b6707dc91687ccc930746d0d506 Description-en: GTK bindings; profiling libraries Bindings for GTK, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-harfbuzz-dev Description-md5: 8c7badd7caa9885aab0d9186abb14127 Description-en: HarfBuzz bindings Bindings for HarfBuzz, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-harfbuzz-doc Description-md5: d0f03681e6f3fe3a87d0fab6381b317e Description-en: HarfBuzz bindings; documentation Bindings for HarfBuzz, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-harfbuzz-prof Description-md5: 57eef0123a9fa30ecddcc4e524ea93e9 Description-en: HarfBuzz bindings; profiling libraries Bindings for HarfBuzz, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-pango-dev Description-md5: 872f771d5340d5096cd9e6f7ac8df69c Description-en: Pango bindings Bindings for Pango, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-pango-doc Description-md5: 785026402c13bd7f5c5be91d6793c72c Description-en: Pango bindings; documentation Bindings for Pango, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-pango-prof Description-md5: 556181490af2220d3b5c664012c1fcbb Description-en: Pango bindings; profiling libraries Bindings for Pango, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-xlib-dev Description-md5: 80cac218a4207041f4652d5e7d2b4b58 Description-en: Xlib bindings Bindings for Xlib, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-xlib-doc Description-md5: 0f6fa50f0719db7db8b299b9e3f85359 Description-en: Xlib bindings; documentation Bindings for Xlib, autogenerated by haskell-gi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gi-xlib-prof Description-md5: fa632c6c0b1aa7276ba74ebe3ccc6a2a Description-en: Xlib bindings; profiling libraries Bindings for Xlib, autogenerated by haskell-gi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-git-lfs-dev Description-md5: 0c17566120d0c111ad04c550eb467097 Description-en: git-lfs protocol for Haskell An implementation of the git-lfs protocol for the Haskell programming language. . git-lfs can be used to store large files in git. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-git-lfs-doc Description-md5: aa6b6d5dd118f3dfe550197f8b070d81 Description-en: git-lfs protocol for Haskell; documentation An implementation of the git-lfs protocol for the Haskell programming language. . git-lfs can be used to store large files in git. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-git-lfs-prof Description-md5: 4bcfd30dccead8e8e918997517bbf4d6 Description-en: git-lfs protocol for Haskell; profiling libraries An implementation of the git-lfs protocol for the Haskell programming language. . git-lfs can be used to store large files in git. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-github-dev Description-md5: cc98a89778c4127e02cde8b860036428 Description-en: Haskell Github API The Github API provides programmatic access to the full Github Web site, from Issues to Gists to repos down to the underlying git data like references and trees. This library wraps all of that, exposing a basic but Haskell-friendly set of functions and data structures. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-github-doc Description-md5: 83a997b654e6d56764833fb71b38316c Description-en: Haskell Github API; documentation The Github API provides programmatic access to the full Github Web site, from Issues to Gists to repos down to the underlying git data like references and trees. This library wraps all of that, exposing a basic but Haskell-friendly set of functions and data structures. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-github-prof Description-md5: c83ab7127cf9da7b73557504a64df762 Description-en: Haskell Github API; profiling libraries The Github API provides programmatic access to the full Github Web site, from Issues to Gists to repos down to the underlying git data like references and trees. This library wraps all of that, exposing a basic but Haskell-friendly set of functions and data structures. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gitit-data Description-md5: 43df3e429cd52ef1c7c59c3a3ce71b24 Description-en: Wiki engine backed by a git or darcs filestore - Data files Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS's command-line tools or through the wiki's web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. . This package contains auxiliary data files to be used with gitit or libghc-gitit-dev. Package: libghc-gitit-dev Description-md5: 1fe75f3689e4b3816284dca423a6303a Description-en: Wiki engine backed by a git or darcs filestore Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS's command-line tools or through the wiki's web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gitit-doc Description-md5: 5c7c364f590e285df159c584812ae73f Description-en: Wiki engine backed by a git or darcs filestore; documentation Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS's command-line tools or through the wiki's web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gitit-prof Description-md5: 604ae384965d38ea9fd2708913155458 Description-en: Wiki engine backed by a git or darcs filestore; profiling libraries Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS's command-line tools or through the wiki's web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-graphviz-dev Description-md5: bd02d2c14f791ffca367313e1957b329 Description-en: bindings to Graphviz for graph visualization This library provides bindings for the Dot language used by the Graphviz (http://graphviz.org/) suite of programs for visualising graphs, as well as functions to call those programs. . Main features of the graphviz library include: . Almost complete coverage of all Graphviz attributes and syntax. . Support for specifying clusters. . The ability to use a custom node type. . Functions for running a Graphviz layout tool with all specified output types. . The ability to not only generate but also parse Dot code with two options: strict and liberal (in terms of ordering of statements). . Functions to convert FGL graphs and other graph-like data structures to Dot code - including support to group them into clusters - with a high degree of customisation by specifying which attributes to use and limited support for the inverse operation. . Round-trip support for passing an FGL graph through Graphviz to augment node and edge labels with positional information, etc. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-graphviz-doc Description-md5: 0f0b19cfe7dbc128f10d3cbfb2e02ed7 Description-en: bindings to Graphviz for graph visualization; documentation This library provides bindings for the Dot language used by the Graphviz (http://graphviz.org/) suite of programs for visualising graphs, as well as functions to call those programs. . Main features of the graphviz library include: . Almost complete coverage of all Graphviz attributes and syntax. . Support for specifying clusters. . The ability to use a custom node type. . Functions for running a Graphviz layout tool with all specified output types. . The ability to not only generate but also parse Dot code with two options: strict and liberal (in terms of ordering of statements). . Functions to convert FGL graphs and other graph-like data structures to Dot code - including support to group them into clusters - with a high degree of customisation by specifying which attributes to use and limited support for the inverse operation. . Round-trip support for passing an FGL graph through Graphviz to augment node and edge labels with positional information, etc. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-graphviz-prof Description-md5: f37e398e59c83bc981d57210e9267d97 Description-en: bindings to Graphviz for graph visualization; profiling libraries This library provides bindings for the Dot language used by the Graphviz (http://graphviz.org/) suite of programs for visualising graphs, as well as functions to call those programs. . Main features of the graphviz library include: . Almost complete coverage of all Graphviz attributes and syntax. . Support for specifying clusters. . The ability to use a custom node type. . Functions for running a Graphviz layout tool with all specified output types. . The ability to not only generate but also parse Dot code with two options: strict and liberal (in terms of ordering of statements). . Functions to convert FGL graphs and other graph-like data structures to Dot code - including support to group them into clusters - with a high degree of customisation by specifying which attributes to use and limited support for the inverse operation. . Round-trip support for passing an FGL graph through Graphviz to augment node and edge labels with positional information, etc. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hakyll-dev Description-md5: d77010ff1af216b4f06b7cafbe67ae1d Description-en: static website compiler library Hakyll is a static website compiler library. It provides you with the tools to create a simple or advanced static website using a Haskell DSL and formats such as markdown or RST. You can find more information, including a tutorial, on the website: . http://jaspervdj.be/hakyll . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hakyll-doc Description-md5: 1f96ff001bea3f8280e5c5bab964c634 Description-en: static website compiler library; documentation Hakyll is a static website compiler library. It provides you with the tools to create a simple or advanced static website using a Haskell DSL and formats such as markdown or RST. You can find more information, including a tutorial, on the website: . http://jaspervdj.be/hakyll . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hakyll-prof Description-md5: 70a81c4c733e488145480f536afa7867 Description-en: static website compiler library; profiling libraries Hakyll is a static website compiler library. It provides you with the tools to create a simple or advanced static website using a Haskell DSL and formats such as markdown or RST. You can find more information, including a tutorial, on the website: . http://jaspervdj.be/hakyll . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-base-dev Description-md5: 3b2d35cd8c5ea91c4086cbe78763c840 Description-en: Foundation for libraries generated by haskell-gi Foundation for generating Haskell bindings for GObject-Introspection-capable libraries. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-base-doc Description-md5: 8c8a033cf49b3762dc2189f53593f3ad Description-en: Foundation for libraries generated by haskell-gi; documentation Foundation for generating Haskell bindings for GObject-Introspection-capable libraries. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-base-prof Description-md5: 8d45df31577a751b193c2a3c7637d096 Description-en: Foundation for libraries generated by haskell-gi; profiling libraries Foundation for generating Haskell bindings for GObject-Introspection-capable libraries. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-dev Description-md5: ae9ef9f80c351b16914505275b773b7e Description-en: generate Haskell bindings for GI-capable libraries Generate Haskell bindings for GObject-Introspection-capable libraries. This includes most notably Gtk+, but many other libraries in the GObject ecosystem provide introspection data too. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-doc Description-md5: 4198be3dce31cef8f56c935a18f5a179 Description-en: generate Haskell bindings for GI-capable libraries; documentation Generate Haskell bindings for GObject-Introspection-capable libraries. This includes most notably Gtk+, but many other libraries in the GObject ecosystem provide introspection data too. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskell-gi-prof Description-md5: b6a8003f98f84a2e993e6f0e438e584e Description-en: generate Haskell bindings for GI-capable libraries; profiling libraries Generate Haskell bindings for GObject-Introspection-capable libraries. This includes most notably Gtk+, but many other libraries in the GObject ecosystem provide introspection data too. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hedis-dev Description-md5: 24588f5ecdee0789c6d19feb849ea65a Description-en: client library for the Redis datastore This library is a Haskell client for the Redis datastore. Compared to other Haskell client libraries it has some advantages: complete Redis 2.6 command set, automatic optimal pipelining, enforced pub/sub semantics, connection via TCP or Unix domain socket. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hedis-doc Description-md5: 25d8051ae6f90224bc7d3c6fd25ba0ec Description-en: client library for the Redis datastore; documentation This library is a Haskell client for the Redis datastore. Compared to other Haskell client libraries it has some advantages: complete Redis 2.6 command set, automatic optimal pipelining, enforced pub/sub semantics, connection via TCP or Unix domain socket. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hedis-prof Description-md5: 720915b7060b680cd94fc953e3b065bd Description-en: client library for the Redis datastore; profiling libraries This library is a Haskell client for the Redis datastore. Compared to other Haskell client libraries it has some advantages: complete Redis 2.6 command set, automatic optimal pipelining, enforced pub/sub semantics, connection via TCP or Unix domain socket. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hint-dev Description-md5: 26b1069ea3563c49d7cdb0b685525cd8 Description-en: runtime Haskell interpreter (GHC API wrapper) This library defines an Interpreter monad. It allows one to load Haskell modules, browse them, type-check and evaluate strings with Haskell expressions and even coerce them into values. The library is thread-safe and type-safe (even the coercion of expressions to values). It is, essentially, a huge subset of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this version was not tested with GHC.6). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hint-doc Description-md5: 511bb9441d60ad0a1965991b2e1cb36e Description-en: runtime Haskell interpreter (GHC API wrapper); documentation This library defines an Interpreter monad. It allows one to load Haskell modules, browse them, type-check and evaluate strings with Haskell expressions and even coerce them into values. The library is thread-safe and type-safe (even the coercion of expressions to values). It is, esentially, a huge subset of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this version was not tested with GHC.6). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hint-prof Description-md5: 2126b2063ddda0143c2e0e11e7556e57 Description-en: runtime Haskell interpreter (GHC API wrapper); profiling libraries This library defines an Interpreter monad. It allows one to load Haskell modules, browse them, type-check and evaluate strings with Haskell expressions and even coerce them into values. The library is thread-safe and type-safe (even the coercion of expressions to values). It is, esentially, a huge subset of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this version was not tested with GHC.6). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-dev Description-md5: 1ded6325f2a99fc352b7695dc7ab915d Description-en: CLI libraries for hledger hledger is a Haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides the main hledger command-line tool; see the other hledger-* packages for web and curses interfaces and chart generation. hledger aims to be a reliable, practical financial reporting tool for day-to-day use, and also a useful library for building financial apps in haskell. Given a plain text file describing transactions, of money or any other commodity, . hledger will print the chart of accounts, account balances, or transactions you're interested in. It can also help you add transactions to the journal file, or convert CSV data from your bank. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-doc Description-md5: 9762cf2040464dfaf2c27568cc94e874 Description-en: CLI libraries for hledger; documentation hledger is a Haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides the main hledger command-line tool; see the other hledger-* packages for web and curses interfaces and chart generation. hledger aims to be a reliable, practical financial reporting tool for day-to-day use, and also a useful library for building financial apps in haskell. Given a plain text file describing transactions, of money or any other commodity, . hledger will print the chart of accounts, account balances, or transactions you're interested in. It can also help you add transactions to the journal file, or convert CSV data from your bank. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-lib-dev Description-md5: 55bca075325e83a58989aa6399279f51 Description-en: core data types, parsers and utilities for the hledger accounting tool hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-lib-doc Description-md5: 7eb280f539e46915569719abefa6a4d2 Description-en: core data types, parsers and utilities for hledger; documentation hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-lib-prof Description-md5: c97ab98b633bf27417527473a9c7450b Description-en: core data types, parsers and utilities for hledger; profiling libraries hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hledger-prof Description-md5: 811ae55147e1a0f35d55a892c22702ea Description-en: CLI libraries for hledger; profiling libraries hledger is a Haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides the main hledger command-line tool; see the other hledger-* packages for web and curses interfaces and chart generation. hledger aims to be a reliable, practical financial reporting tool for day-to-day use, and also a useful library for building financial apps in haskell. Given a plain text file describing transactions, of money or any other commodity, . hledger will print the chart of accounts, account balances, or transactions you're interested in. It can also help you add transactions to the journal file, or convert CSV data from your bank. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hlint-dev Description-md5: 2c3d2c94da978b4b5019a8e043268923 Description-en: Haskell source code suggestions HLint gives suggestions on how to improve your source code. It can either print them directly, or generate a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hlint-doc Description-md5: 3c40b71db04548dbeb27de5eaa7a4f8a Description-en: Haskell source code suggestions; documentation HLint gives suggestions on how to improve your source code. It can either print them directly, or generate a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hlint-prof Description-md5: 160e1f4bd54b10d95cf1492f5665ac04 Description-en: Haskell source code suggestions; profiling libraries HLint gives suggestions on how to improve your source code. It can either print them directly, or generate a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hoauth2-dev Description-md5: f8d464253266dc06923a2b95d03b41de Description-en: OAuth2 authentication for Haskell This library provies OAuth2 authentication for Haskell applications. . It was te tested against the following services . * google web oauth * weibo oauth2 * github oauth . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hoauth2-doc Description-md5: e0d55b892012e096ed21af3dacf1b1fc Description-en: OAuth2 authentication for Haskell; documentation This library provies OAuth2 authentication for Haskell applications. . It was te tested against the following services . * google web oauth * weibo oauth2 * github oauth . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hoauth2-prof Description-md5: 20a038fbb4c4a0ecfecb09a1a59b6549 Description-en: OAuth2 authentication for Haskell; profiling libraries This library provies OAuth2 authentication for Haskell applications. . It was te tested against the following services . * google web oauth * weibo oauth2 * github oauth . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hoogle-dev Description-md5: 81825b0a2d5068305405fbbb3c91178e Description-en: Haskell API Search Hoogle is a Haskell API search engine, which allows you to search many standard Haskell libraries by either function name, or by approximate type signature. . This package contains the normal library files. Package: libghc-hoogle-doc Description-md5: 9e298ed27b426ea19e3ea3c8159f3057 Description-en: Haskell API Search; documentation Hoogle is a Haskell API search engine, which allows you to search many standard Haskell libraries by either function name, or by approximate type signature. . This package contains the documentation files. Package: libghc-hoogle-prof Description-md5: 148eeb2ae81ae84633527e1d8a4e7006 Description-en: Haskell API Search; profiling libraries Hoogle is a Haskell API search engine, which allows you to search many standard Haskell libraries by either function name, or by approximate type signature. . This package contains the libraries compiled with profiling enabled. Package: libghc-hpack-dev Description-md5: a096219f1fdab6625cc4f53bec91803f Description-en: alternative format for Haskell packages hpack is a tool to create the usual Haskell packaging metadata, i.e. the .cabal file, from a YAML description of the program, with some fields field in by inferred defaults and other additional conveniences. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hpack-doc Description-md5: b1b0544a9e62fba13b06250c092b60e7 Description-en: alternative format for Haskell packages; documentation hpack is a tool to create the usual Haskell packaging metadata, i.e. the .cabal file, from a YAML description of the program, with some fields field in by inferred defaults and other additional conveniences. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hpack-prof Description-md5: acc7b1338840ce2948be94aede654e2d Description-en: alternative format for Haskell packages; profiling libraries hpack is a tool to create the usual Haskell packaging metadata, i.e. the .cabal file, from a YAML description of the program, with some fields field in by inferred defaults and other additional conveniences. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hspec-wai-dev Description-md5: 7568c357de78e5ca3f59915a3cda38e0 Description-en: hspec support for testing WAI applications Experimental Hspec support for testing WAI applications . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hspec-wai-doc Description-md5: fc4b7745ec1f646f0c40676ae3f8be87 Description-en: hspec support for testing WAI applications; documentation Experimental Hspec support for testing WAI applications . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hspec-wai-prof Description-md5: b914ba3f84ef9d664823f87442127a7a Description-en: hspec support for testing WAI applications; profiling libraries Experimental Hspec support for testing WAI applications . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-html-conduit-dev Description-md5: 9fc0509c07f3f0a1c39e08a4aa45cc96 Description-en: parse HTML documents using xml-conduit datatypes html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the normal library files. Package: libghc-html-conduit-doc Description-md5: 917a85668bfdffb77b791d3e6ddcbe58 Description-en: parse HTML documents using xml-conduit datatypes; documentation html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the documentation files. Package: libghc-html-conduit-prof Description-md5: 5845d4982eb8b36ba9b8fd318648971a Description-en: parse HTML documents using xml-conduit datatypes; profiling libraries html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the libraries compiled with profiling enabled. Package: libghc-http-api-data-dev Description-md5: ddb823c2a2b63d8e2ba5c022e5bad5db Description-en: converting to/from HTTP API data This package defines typeclasses used for converting Haskell data types to and from HTTP API data, such as URL pieces, headers and query parameters. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-api-data-doc Description-md5: c95f8d194a747f8c80c97442079b6c12 Description-en: converting to/from HTTP API data; documentation This package defines typeclasses used for converting Haskell data types to and from HTTP API data, such as URL pieces, headers and query parameters. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-api-data-prof Description-md5: 61f9769cbbf65dcc8873d02a2459fcb7 Description-en: converting to/from HTTP API data; profiling libraries This package defines typeclasses used for converting Haskell data types to and from HTTP API data, such as URL pieces, headers and query parameters. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-dev Description-md5: 5efe296e4095129cd990b40c135bbaf1 Description-en: HTTP client engine An HTTP client engine, intended as a base layer for more user-friendly packages. . This code has been factored out of http-conduit. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-doc Description-md5: 17142b3313d09e43246b7a36624086f9 Description-en: HTTP client engine; documentation An HTTP client engine, intended as a base layer for more user-friendly packages. . This code has been factored out of http-conduit. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-prof Description-md5: e774a8104c101f284935a1a222d6cd73 Description-en: HTTP client engine; profiling libraries An HTTP client engine, intended as a base layer for more user-friendly packages. . This code has been factored out of http-conduit. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-restricted-dev Description-md5: e498bd7849f938591b543efcc246baa5 Description-en: restrict the servers that Haskell's http-client will use Addition to the http-client and http-client-tls Haskell libraries, that restricts the HTTP servers that can be used. . This is useful when a security policy needs to, e.g., prevent connections to HTTP servers on localhost or a local network, or only allow connections to a specific HTTP server. . It handles restricting redirects as well as the initial HTTP connection, and it also guards against DNS poisoning attacks. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-restricted-doc Description-md5: e13ea8b69f2312cc4aa757f9d1975fad Description-en: restrict the servers that Haskell's http-client will use; documentation Addition to the http-client and http-client-tls Haskell libraries, that restricts the HTTP servers that can be used. . This is useful when a security policy needs to, e.g., prevent connections to HTTP servers on localhost or a local network, or only allow connections to a specific HTTP server. . It handles restricting redirects as well as the initial HTTP connection, and it also guards against DNS poisoning attacks. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-restricted-prof Description-md5: 0863065d8a0912615a0320092f64a504 Description-en: restrict the servers that Haskell's http-client will use; profiling libraries Addition to the http-client and http-client-tls Haskell libraries, that restricts the HTTP servers that can be used. . This is useful when a security policy needs to, e.g., prevent connections to HTTP servers on localhost or a local network, or only allow connections to a specific HTTP server. . It handles restricting redirects as well as the initial HTTP connection, and it also guards against DNS poisoning attacks. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-tls-dev Description-md5: ed73ec00faf274d15c7960a9e84301d9 Description-en: http-client backend using connection and tls libraries Support for making connections via the connection package and, in turn, the tls package suite. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-tls-doc Description-md5: 04f69069b36ce2e136b157e3af8654be Description-en: http-client backend using connection and tls libraries; documentation Support for making connections via the connection package and, in turn, the tls package suite. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-client-tls-prof Description-md5: b8478d518a2bcb128cd5abcf140c74f6 Description-en: http-client backend using connection and tls libraries; profiling libraries Support for making connections via the connection package and, in turn, the tls package suite. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-conduit-dev Description-md5: 38219628dafc04d3f5312cd6795ca7b1 Description-en: HTTP client package with conduit interface and HTTPS support This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/http-conduit for more information. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-conduit-doc Description-md5: 42861c13eef90c501df95f4ea66ad20a Description-en: HTTP client package with conduit interface and HTTPS support; documentation This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/http-conduit for more information. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-conduit-prof Description-md5: d7a4381d25bf3d34320977a73d774c04 Description-en: HTTP/HTTPS client package with conduit interface, profiling libraries; profiling libraries This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/http-conduit for more information. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-download-dev Description-md5: 1d2d6f9f9cd8dddbe8845821bc5a663e Description-en: Verified downloads with retries Higher level HTTP download APIs including verification of content and retries. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-download-doc Description-md5: 1099977db953b20d61b09474ce8aace9 Description-en: Verified downloads with retries; documentation Higher level HTTP download APIs including verification of content and retries. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-download-prof Description-md5: a94128f75dd8455c62a8ba13574cdb5e Description-en: Verified downloads with retries; profiling libraries Higher level HTTP download APIs including verification of content and retries. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-link-header-dev Description-md5: b713175dd369165a54dc8a2c3e8d1810 Description-en: parser/writer for RFC 5988's HTTP Link header Library for parsing and writing the HTTP Link header as specified in RFC 5988 "Web Linking". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-link-header-doc Description-md5: c4bc9afc3c72f0ce26ac7dec12730146 Description-en: parser/writer for RFC 5988's HTTP Link header; documentation Library for parsing and writing the HTTP Link header as specified in RFC 5988 "Web Linking". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-link-header-prof Description-md5: c1f78d03b57c86b0b0ade6a0aa448785 Description-en: parser/writer for RFC 5988's HTTP Link header; profiling libraries Library for parsing and writing the HTTP Link header as specified in RFC 5988 "Web Linking". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-reverse-proxy-dev Description-md5: dbf89fa540c6c9ed62580b5532b7b660 Description-en: reverse-proxy HTTP requests, either over raw sockets or with WAI http-reverse-proxy provides a simple means of reverse-proxying HTTP requests. The raw approach uses the same technique as leveraged by keter, whereas the WAI approach performs full request/response parsing via WAI and http-conduit. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-reverse-proxy-doc Description-md5: 8e08daf4f9d7d49c1dfd405ea066f96e Description-en: reverse-proxy HTTP requests, over raw sockets or with WAI; documentation http-reverse-proxy provides a simple means of reverse-proxying HTTP requests. The raw approach uses the same technique as leveraged by keter, whereas the WAI approach performs full request/response parsing via WAI and http-conduit. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-reverse-proxy-prof Description-md5: 564bf61bb8bc2d8181fefe143f510a1f Description-en: reverse-proxy HTTP requests, over raw sockets or with WAI; profiling libraries http-reverse-proxy provides a simple means of reverse-proxying HTTP requests. The raw approach uses the same technique as leveraged by keter, whereas the WAI approach performs full request/response parsing via WAI and http-conduit. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-inspection-testing-dev Description-md5: 0c66d51eac532a13d44a0f1d28da19c0 Description-en: GHC plugin to do inspection testing Some carefully crafted libraries make promises to their users beyond functionality and performance. . Examples are: Fusion libraries promise intermediate data structures to be eliminated. Generic programming libraries promise that the generic implementation is identical to the hand-written one. Some libraries may promise allocation-free or branch-free code. . Conventionally, the modus operandi in all these cases is that the library author manually inspects the (intermediate or final) code produced by the compiler. This is not only tedious, but makes it very likely that some change, either in the library itself or the surrounding eco-system, breaks the library’s promised without anyone noticing. . This package provides a disciplined way of specifying such properties, and have them checked by the compiler. This way, this checking can be part of the ususal development cycle and regressions caught early. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-inspection-testing-doc Description-md5: 2afe43a35d3e17b4de9657add62b58fb Description-en: GHC plugin to do inspection testing; documentation Some carefully crafted libraries make promises to their users beyond functionality and performance. . Examples are: Fusion libraries promise intermediate data structures to be eliminated. Generic programming libraries promise that the generic implementation is identical to the hand-written one. Some libraries may promise allocation-free or branch-free code. . Conventionally, the modus operandi in all these cases is that the library author manually inspects the (intermediate or final) code produced by the compiler. This is not only tedious, but makes it very likely that some change, either in the library itself or the surrounding eco-system, breaks the library’s promised without anyone noticing. . This package provides a disciplined way of specifying such properties, and have them checked by the compiler. This way, this checking can be part of the ususal development cycle and regressions caught early. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-inspection-testing-prof Description-md5: 6c5daf0c10356f0ce7b473c8aa8a2f4b Description-en: GHC plugin to do inspection testing; profiling libraries Some carefully crafted libraries make promises to their users beyond functionality and performance. . Examples are: Fusion libraries promise intermediate data structures to be eliminated. Generic programming libraries promise that the generic implementation is identical to the hand-written one. Some libraries may promise allocation-free or branch-free code. . Conventionally, the modus operandi in all these cases is that the library author manually inspects the (intermediate or final) code produced by the compiler. This is not only tedious, but makes it very likely that some change, either in the library itself or the surrounding eco-system, breaks the library’s promised without anyone noticing. . This package provides a disciplined way of specifying such properties, and have them checked by the compiler. This way, this checking can be part of the ususal development cycle and regressions caught early. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-jwt-dev Description-md5: b107f371802a2aa9b5408285afdc3763 Description-en: JSON Web Token (JWT) decoding and encoding JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties. . To get started, see the documentation for the "Web.JWT" module. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-jwt-doc Description-md5: 40fa1b62b2fdd856aea33bcffbb0bf51 Description-en: JSON Web Token (JWT) decoding and encoding; documentation JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties. . To get started, see the documentation for the "Web.JWT" module. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-jwt-prof Description-md5: 3b5dfb7f9a00778a8acc3d4e742cf89f Description-en: JSON Web Token (JWT) decoding and encoding; profiling libraries JSON Web Token (JWT) is a compact URL-safe means of representing claims to be transferred between two parties. . To get started, see the documentation for the "Web.JWT" module. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-core-dev Description-md5: 7a2211a1b749bb69e96345cce1d473f6 Description-en: Lambdabot core functionality Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Manage plugins, network connections, configurations and much more. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-core-doc Description-md5: e1dc7fcf183778e7a6b86e0b4a188b06 Description-en: Lambdabot core functionality; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Manage plugins, network connections, configurations and much more. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-core-prof Description-md5: f84aaf4c43faf714f7db51c48a90dbcf Description-en: Lambdabot core functionality; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Manage plugins, network connections, configurations and much more. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-haskell-plugins-dev Description-md5: e6c3105c9e43bb80904766e1a4bd79af Description-en: Lambdabot Haskell plugins Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [check] Quick, check! . [djinn] Derive implementations from types intuitinistically. . [eval] Run Haskell code. . [free] Theorems for free. . [haddock] Find modules implementing a function. . [hoogle] Search for functions by type using hoogle. . [instances] Query instances of type classes. . [pl] Produce point-less code. . [pointful] Produce point-ful code. . [pretty] Print code prettily. . [source] Show implementations of standard functions. . [type] Query type of expressions. . [undo] Unfold do notation. . [unmtl] Expand monad transformers stacks. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-haskell-plugins-doc Description-md5: 22c51406ea07da920b1812f65fde8d99 Description-en: Lambdabot Haskell plugins; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [check] Quick, check! . [djinn] Derive implementations from types intuitinistically. . [eval] Run Haskell code. . [free] Theorems for free. . [haddock] Find modules implementing a function. . [hoogle] Search for functions by type using hoogle. . [instances] Query instances of type classes. . [pl] Produce point-less code. . [pointful] Produce point-ful code. . [pretty] Print code prettily. . [source] Show implementations of standard functions. . [type] Query type of expressions. . [undo] Unfold do notation. . [unmtl] Expand monad transformers stacks. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-haskell-plugins-prof Description-md5: e58aa822a7853eb7aece5f9b77e5bf24 Description-en: Lambdabot Haskell plugins; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [check] Quick, check! . [djinn] Derive implementations from types intuitinistically. . [eval] Run Haskell code. . [free] Theorems for free. . [haddock] Find modules implementing a function. . [hoogle] Search for functions by type using hoogle. . [instances] Query instances of type classes. . [pl] Produce point-less code. . [pointful] Produce point-ful code. . [pretty] Print code prettily. . [source] Show implementations of standard functions. . [type] Query type of expressions. . [undo] Unfold do notation. . [unmtl] Expand monad transformers stacks. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-irc-plugins-dev Description-md5: e889c47769690e36a76cf47eef5b8484 Description-en: IRC plugins for lambdabot Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [irc] Lets lambdabot connect to IRC. . [localtime] Check user's local time. . [log] Log IRC channels. . [topic] Modify channel topics. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-irc-plugins-doc Description-md5: 8e30b9c656b2f70db1be41a226c4479c Description-en: IRC plugins for lambdabot; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [irc] Lets lambdabot connect to IRC. . [localtime] Check user's local time. . [log] Log IRC channels. . [topic] Modify channel topics. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-irc-plugins-prof Description-md5: 1e66561fb30aa89c49018a7740e2cd94 Description-en: IRC plugins for lambdabot; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [irc] Lets lambdabot connect to IRC. . [localtime] Check user's local time. . [log] Log IRC channels. . [topic] Modify channel topics. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-misc-plugins-dev Description-md5: 776408860b06ee292dadd033592fb41c Description-en: Lambdabot miscellaneous plugins Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dummy] Many commands with fixed replies. . [error] Debug error handling. . [fresh] Generate Haskell project names. . [hello] Hello, world. . [stats] Ad-hoc statsd queries. . [todo] A to-do list for lambdabot. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-misc-plugins-doc Description-md5: ece339bcbad39476524aea7da872a343 Description-en: Lambdabot miscellaneous plugins; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dummy] Many commands with fixed replies. . [error] Debug error handling. . [fresh] Generate Haskell project names. . [hello] Hello, world. . [stats] Ad-hoc statsd queries. . [todo] A to-do list for lambdabot. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-misc-plugins-prof Description-md5: b737ebb677a1aa3548a65c2bb3ac1f67 Description-en: Lambdabot miscellaneous plugins; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dummy] Many commands with fixed replies. . [error] Debug error handling. . [fresh] Generate Haskell project names. . [hello] Hello, world. . [stats] Ad-hoc statsd queries. . [todo] A to-do list for lambdabot. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-novelty-plugins-dev Description-md5: 82e5e7078d68d30233204e68db5f2333 Description-en: novelty plugins for Lambdabot Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [bf] Run Brainf*ck code. . [dice] Roll some dice. . [elite] zPEak Gib8erI$|-|. . [filter] More gibberish. . [numberwang] Sorry, that's not Numberwang. . [quote] Parrot profound wisdom. . [slap] Delegate punishment. . [unlambda] Run Unlambda code. . [vixen] Let's chat, honey. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-novelty-plugins-doc Description-md5: 37d8e0b24c8fb703d1df193a3e5c7d8d Description-en: novelty plugins for Lambdabot; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [bf] Run Brainf*ck code. . [dice] Roll some dice. . [elite] zPEak Gib8erI$|-|. . [filter] More gibberish. . [numberwang] Sorry, that's not Numberwang. . [quote] Parrot profound wisdom. . [slap] Delegate punishment. . [unlambda] Run Unlambda code. . [vixen] Let's chat, honey. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-novelty-plugins-prof Description-md5: f8a6f3f60f2d9b947e10163fc3f4691d Description-en: novelty plugins for Lambdabot; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [bf] Run Brainf*ck code. . [dice] Roll some dice. . [elite] zPEak Gib8erI$|-|. . [filter] More gibberish. . [numberwang] Sorry, that's not Numberwang. . [quote] Parrot profound wisdom. . [slap] Delegate punishment. . [unlambda] Run Unlambda code. . [vixen] Let's chat, honey. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-reference-plugins-dev Description-md5: af9e8c6ee1c4a8f6139d3867717e9e2f Description-en: Lambdabot reference plugins Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dict] Query various dictionaries. . [metar] Look up avian weather reports. . [oeis] Look up number sequences on OEIS. . [search] Query search engines. . [spell] Check spelling of wrods. . [ticker] Look up stock quotes. . [url] Display titles of URLs on channels. . [where] Manage and query a key-URL list. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-reference-plugins-doc Description-md5: 780f0ffbd3c5ab45d7c4a39170bee88a Description-en: Lambdabot reference plugins; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dict] Query various dictionaries. . [metar] Look up avian weather reports. . [oeis] Look up number sequences on OEIS. . [search] Query search engines. . [spell] Check spelling of wrods. . [ticker] Look up stock quotes. . [url] Display titles of URLs on channels. . [where] Manage and query a key-URL list. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-reference-plugins-prof Description-md5: d2b58436120db38873d10b02ba014966 Description-en: Lambdabot reference plugins; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [dict] Query various dictionaries. . [metar] Look up avian weather reports. . [oeis] Look up number sequences on OEIS. . [search] Query search engines. . [spell] Check spelling of wrods. . [ticker] Look up stock quotes. . [url] Display titles of URLs on channels. . [where] Manage and query a key-URL list. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-social-plugins-dev Description-md5: 2d15b4e160ccef9e7b12256a6b9a1f25 Description-en: social plugins for Lambdabot Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [activity] Check where and how much is lambdabot used. . [karma] Track who's been good and who's been naughty. . [poll] Let the people vote. . [seen] Track who was around when. . [tell] Leave messages for other users. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-social-plugins-doc Description-md5: 6346fdb5a7a7af49e920eeafcda8d446 Description-en: social plugins for Lambdabot; documentation Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [activity] Check where and how much is lambdabot used. . [karma] Track who's been good and who's been naughty. . [poll] Let the people vote. . [seen] Track who was around when. . [tell] Leave messages for other users. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-social-plugins-prof Description-md5: 028a54be03fb09ad617c2125abf0c3e3 Description-en: social plugins for Lambdabot; profiling libraries Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . Provided plugins: . [activity] Check where and how much is lambdabot used. . [karma] Track who's been good and who's been naughty. . [poll] Let the people vote. . [seen] Track who was around when. . [tell] Leave messages for other users. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-libmpd-dev Description-md5: 0c404c1a263cf9965751e740740169a2 Description-en: MPD client library A client library for MPD, the Music Player Daemon. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-libmpd-doc Description-md5: 84fa186036c51d21d7097bb7d5e3eb81 Description-en: MPD client library; documentation A client library for MPD, the Music Player Daemon. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-libmpd-prof Description-md5: d64caa33eaff9167529961b7ff09296c Description-en: MPD client library; profiling libraries A client library for MPD, the Music Player Daemon. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-markdown-dev Description-md5: 5e05a1b12322d0bb8d71de510cc9210c Description-en: convert Markdown to HTML, with XSS protection This library leverages existing high-performance libraries (attoparsec, blaze-html, text, and conduit), and should integrate well with existing codebases. It renders Markdown to HTML, optionally sanitizing against XSS attacks. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-markdown-doc Description-md5: 4bae7cf474f9a83d136ec44ac79fdc44 Description-en: convert Markdown to HTML, with XSS protection; documentation This library leverages existing high-performance libraries (attoparsec, blaze-html, text, and conduit), and should integrate well with existing codebases. It renders Markdown to HTML, optionally sanitizing against XSS attacks. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-markdown-prof Description-md5: d0da9fa1097980d8cfeb66be540aa931 Description-en: convert Markdown to HTML, with XSS protection; profiling libraries This library leverages existing high-performance libraries (attoparsec, blaze-html, text, and conduit), and should integrate well with existing codebases. It renders Markdown to HTML, optionally sanitizing against XSS attacks. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-math-functions-dev Description-md5: 199efb2208f88eac3b9fc937a3023d43 Description-en: Special functions and Chebyshev polynomials This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-math-functions-doc Description-md5: f1dc6c9ed71377361cdd3483a8b8f4b9 Description-en: Special functions and Chebyshev polynomials; documentation This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-math-functions-prof Description-md5: 93aa793fbb37ca22abb7996faf060e1a Description-en: Special functions and Chebyshev polynomials; profiling libraries This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mime-mail-ses-dev Description-md5: 115177a39e6e6371ae6019cef9c6db81 Description-en: send mime-mail messages via Amazon SES Amazon SES support for mime-mail messages. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mime-mail-ses-doc Description-md5: 0c70d96378b721899d588650ed4ea978 Description-en: send mime-mail messages via Amazon SES; documentation Amazon SES support for mime-mail messages. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mime-mail-ses-prof Description-md5: f1f0b3b2cf0c2af4c8f2e163ec5d7d58 Description-en: send mime-mail messages via Amazon SES; profiling libraries Amazon SES support for mime-mail messages. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-misfortune-dev Description-md5: 1e37051e98db518caf86e6956693e0ba Description-en: fortune-mod clone fortune-mod clone, in library and executable form. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-misfortune-doc Description-md5: 53706624aad5619bfed4e733670f58df Description-en: fortune-mod clone; documentation fortune-mod clone, in library and executable form. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-misfortune-prof Description-md5: 211954d9ea587104230bd2eb01546b86 Description-en: fortune-mod clone; profiling libraries fortune-mod clone, in library and executable form. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-chronicle-dev Description-md5: f7f56cf683173dbd4d8e3ef18c4f9e92 Description-en: These as a transformer, ChronicleT This package provides ChronicleT, a monad transformer based on the Monad instance for These a, along with the usual monad transformer bells and whistles. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-chronicle-doc Description-md5: 75d0651436e98bd3208d0480bff0cb19 Description-en: These as a transformer, ChronicleT; documentation This package provides ChronicleT, a monad transformer based on the Monad instance for These a, along with the usual monad transformer bells and whistles. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-chronicle-prof Description-md5: e1d8d687f65036db349ab841f7e11057 Description-en: These as a transformer, ChronicleT; profiling libraries This package provides ChronicleT, a monad transformer based on the Monad instance for These a, along with the usual monad transformer bells and whistles. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-logger-dev Description-md5: 9099ac979ec4a75b5f40cf181dbbc242 Description-en: a class of monads which can log messages This package uses Template Haskell for determining source code locations of messages. . Author: Michael Snoyman Upstream-Maintainer: michael@snoyman.com . This package contains the normal library files. Package: libghc-monad-logger-doc Description-md5: 65cde09734f45cf940ef459d3dc07467 Description-en: a class of monads which can log messages; documentation This package uses Template Haskell for determining source code locations of messages. . Author: Michael Snoyman Upstream-Maintainer: michael@snoyman.com . This package contains the documentation files. Package: libghc-monad-logger-prof Description-md5: 4eecc14f2bb9f78491502cb3401500c9 Description-en: a class of monads which can log messages; profiling libraries This package uses Template Haskell for determining source code locations of messages. . Author: Michael Snoyman Upstream-Maintainer: michael@snoyman.com . This package contains the libraries compiled with profiling enabled. Package: libghc-monad-par-dev Description-md5: ae27621305c99d6243fa0699f0ace19d Description-en: parallel programming based on a monad This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-par-doc Description-md5: 7c2890cbf26d69738ec9b3a51837d2a1 Description-en: parallel programming based on a monad; documentation This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-par-prof Description-md5: b3c5d8d7053c8b2ef47d249273fffd17 Description-en: parallel programming based on a monad; profiling libraries This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mono-traversable-instances-dev Description-md5: 162282936d6b68bf2c19090147e9e852 Description-en: extra typeclass instances for mono-traversable Extra typeclass instances for mono-traversable, such as for DList, Comonad, Tree, etc. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mono-traversable-instances-doc Description-md5: de487703301a6f4dac7c07488987ebf4 Description-en: extra typeclass instances for mono-traversable; documentation Extra typeclass instances for mono-traversable, such as for DList, Comonad, Tree, etc. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mono-traversable-instances-prof Description-md5: 4211519aad549ae6211ae0698096c623 Description-en: extra typeclass instances for mono-traversable; profiling libraries Extra typeclass instances for mono-traversable, such as for DList, Comonad, Tree, etc. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-musicbrainz-dev Description-md5: 25a43dd296379efa3fd9e447d34d7f2c Description-en: interface to MusicBrainz XML2/JSON web services Web service client functions for both MusicBrainz XML2 and JSON APIs. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-musicbrainz-doc Description-md5: 99d56ef5ec82ef0538a71cdb6a16e907 Description-en: interface to MusicBrainz XML2/JSON web services; documentation Web service client functions for both MusicBrainz XML2 and JSON APIs. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-musicbrainz-prof Description-md5: 0471694d172de787588610a6b5d0e6d3 Description-en: interface to MusicBrainz XML2/JSON web services; profiling libraries Web service client functions for both MusicBrainz XML2 and JSON APIs. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mwc-random-dev Description-md5: 19c6bac080264a05b1bac1e23ad16fa8 Description-en: Fast, high quality pseudo random number generation This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mwc-random-doc Description-md5: 56f9114595dfea8ff579ecad066f4f72 Description-en: Fast, high quality pseudo random number generation; documentation This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-mwc-random-prof Description-md5: 5890ef5ec6975e34746c499a872abf82 Description-en: Fast, high quality pseudo random number generation; profiling libraries This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-network-conduit-tls-dev Description-md5: 013b27e8353eb10dbad35869705c2897 Description-en: create TLS-aware network code with conduits Uses the tls package for a pure-Haskell implementation. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-network-conduit-tls-doc Description-md5: a442b9578d735f2da8b6634630d96374 Description-en: create TLS-aware network code with conduits; documentation Uses the tls package for a pure-Haskell implementation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-network-conduit-tls-prof Description-md5: ed063d56ba6a52eb3f1da5c8b339a4bf Description-en: create TLS-aware network code with conduits; profiling libraries Uses the tls package for a pure-Haskell implementation. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-dev Description-md5: 5049fa3499849315647938b58612c1b3 Description-en: Conversion between markup formats Pandoc is a Haskell library for converting from one markup format to another. The formats it can handle include . - light markup formats (many variants of Markdown, reStructuredText, AsciiDoc, Org-mode, Muse, Textile, txt2tags) - HTML formats (HTML 4 and 5) - Ebook formats (EPUB v2 and v3, FB2) - Documentation formats (GNU TexInfo, Haddock) - Roff formats (man, ms) - TeX formats (LaTeX, ConTeXt) - XML formats (DocBook 4 and 5, JATS, TEI Simple, OpenDocument) - Outline formats (OPML) - Bibliography formats (BibTeX, BibLaTeX, CSL JSON, CSL YAML, RIS) - Word processor formats (Docx, RTF, ODT) - Interactive notebook formats (Jupyter notebook ipynb) - Page layout formats (InDesign ICML) - Wiki markup formats (MediaWiki, DokuWiki, TikiWiki, TWiki, Vimwiki, XWiki, ZimWiki, Jira wiki, Creole) - Slide show formats (LaTeX Beamer, PowerPoint, Slidy, reveal.js, Slideous, S5, DZSlides) - Data formats (CSV and TSV tables) - PDF (via external programs such as pdflatex or wkhtmltopdf) . Pandoc can convert mathematical content in documents between TeX, MathML, Word equations, roff eqn, and plain text. It includes a powerful system for automatic citations and bibliographies, and it can be customized extensively using templates, filters, and custom readers and writers written in Lua. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-doc Description-md5: 1174ea334da4021054046e808132bcca Description-en: Conversion between markup formats; documentation Pandoc is a Haskell library for converting from one markup format to another. The formats it can handle include . - light markup formats (many variants of Markdown, reStructuredText, AsciiDoc, Org-mode, Muse, Textile, txt2tags) - HTML formats (HTML 4 and 5) - Ebook formats (EPUB v2 and v3, FB2) - Documentation formats (GNU TexInfo, Haddock) - Roff formats (man, ms) - TeX formats (LaTeX, ConTeXt) - XML formats (DocBook 4 and 5, JATS, TEI Simple, OpenDocument) - Outline formats (OPML) - Bibliography formats (BibTeX, BibLaTeX, CSL JSON, CSL YAML, RIS) - Word processor formats (Docx, RTF, ODT) - Interactive notebook formats (Jupyter notebook ipynb) - Page layout formats (InDesign ICML) - Wiki markup formats (MediaWiki, DokuWiki, TikiWiki, TWiki, Vimwiki, XWiki, ZimWiki, Jira wiki, Creole) - Slide show formats (LaTeX Beamer, PowerPoint, Slidy, reveal.js, Slideous, S5, DZSlides) - Data formats (CSV and TSV tables) - PDF (via external programs such as pdflatex or wkhtmltopdf) . Pandoc can convert mathematical content in documents between TeX, MathML, Word equations, roff eqn, and plain text. It includes a powerful system for automatic citations and bibliographies, and it can be customized extensively using templates, filters, and custom readers and writers written in Lua. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-lua-engine-dev Description-md5: f7d78718b8395aa8ca00f6d3d9d3c9f4 Description-en: Lua engine to power custom pandoc conversions This package provides a pandoc scripting engine based on Lua. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-lua-engine-doc Description-md5: 9a434c18830a0bd001dda1f5701322eb Description-en: Lua engine to power custom pandoc conversions; documentation This package provides a pandoc scripting engine based on Lua. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-lua-engine-prof Description-md5: 7eba3c8572cd96989f085f4634f35950 Description-en: Lua engine to power custom pandoc conversions; profiling libraries This package provides a pandoc scripting engine based on Lua. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-prof Description-md5: eedcb36a88f67c7d9deacfb542aea367 Description-en: Conversion between markup formats; profiling libraries Pandoc is a Haskell library for converting from one markup format to another. The formats it can handle include . - light markup formats (many variants of Markdown, reStructuredText, AsciiDoc, Org-mode, Muse, Textile, txt2tags) - HTML formats (HTML 4 and 5) - Ebook formats (EPUB v2 and v3, FB2) - Documentation formats (GNU TexInfo, Haddock) - Roff formats (man, ms) - TeX formats (LaTeX, ConTeXt) - XML formats (DocBook 4 and 5, JATS, TEI Simple, OpenDocument) - Outline formats (OPML) - Bibliography formats (BibTeX, BibLaTeX, CSL JSON, CSL YAML, RIS) - Word processor formats (Docx, RTF, ODT) - Interactive notebook formats (Jupyter notebook ipynb) - Page layout formats (InDesign ICML) - Wiki markup formats (MediaWiki, DokuWiki, TikiWiki, TWiki, Vimwiki, XWiki, ZimWiki, Jira wiki, Creole) - Slide show formats (LaTeX Beamer, PowerPoint, Slidy, reveal.js, Slideous, S5, DZSlides) - Data formats (CSV and TSV tables) - PDF (via external programs such as pdflatex or wkhtmltopdf) . Pandoc can convert mathematical content in documents between TeX, MathML, Word equations, roff eqn, and plain text. It includes a powerful system for automatic citations and bibliographies, and it can be customized extensively using templates, filters, and custom readers and writers written in Lua. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-server-dev Description-md5: c36c3941481c144f65656a0d3971e02e Description-en: Pandoc document conversion as an HTTP servant-server Pandoc-server provides pandoc's document conversion functions in an HTTP server. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-server-doc Description-md5: d692e1e4d17803b54dee84c22ef48dc4 Description-en: Pandoc document conversion as an HTTP servant-server; documentation Pandoc-server provides pandoc's document conversion functions in an HTTP server. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pandoc-server-prof Description-md5: 9c5217886966af290be649e6bc063741 Description-en: Pandoc document conversion as an HTTP servant-server; profiling libraries Pandoc-server provides pandoc's document conversion functions in an HTTP server. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pantry-dev Description-md5: 2477f038ac965074e1069b4752bbc035 Description-en: Content addressable Haskell package management Content addressable Haskell package management, providing for secure, reproducible acquisition of Haskell package contents and metadata. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pantry-doc Description-md5: cd46b56cb7f3e662de48fae7453d057f Description-en: Content addressable Haskell package management; documentation Content addressable Haskell package management, providing for secure, reproducible acquisition of Haskell package contents and metadata. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pantry-prof Description-md5: 2e451ffa487cb6d5301942d019f51f1b Description-en: Content addressable Haskell package management; profiling libraries Content addressable Haskell package management, providing for secure, reproducible acquisition of Haskell package contents and metadata. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-path-io-dev Description-md5: ab5fdc28e9c692c0c5ba165bda265820 Description-en: Interface to ‘directory’ package for users of ‘path’ This package provides an interface to the ‘directory’ package for users of the library ‘path’, which provides statically ensured well-types file paths. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-path-io-doc Description-md5: 70fd10d0d202dfd3038d6add3ba2686a Description-en: Interface to ‘directory’ package for users of ‘path’; documentation This package provides an interface to the ‘directory’ package for users of the library ‘path’, which provides statically ensured well-types file paths. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-path-io-prof Description-md5: ba3b7bf7292d6c9f36386c35a6f94e9f Description-en: Interface to ‘directory’ package for users of ‘path’; profiling libraries This package provides an interface to the ‘directory’ package for users of the library ‘path’, which provides statically ensured well-types file paths. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-dev Description-md5: 5d95505e2f9ee63beb0350a212e41947 Description-en: type-safe, non-relational, multi-backend persistence This libraries allows Haskell applications to access a database or other data storage sytems in a type-safe, non-relational way. . This library provides just the general interface and helper functions. You must use a specific backend in order to make this useful. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-doc Description-md5: ae3ee459b2f92bee33496d81256cee82 Description-en: type-safe, non-relational, multi-backend persistence; documentation This libraries allows Haskell applications to access a database or other data storage sytems in a type-safe, non-relational way. . This library provides just the general interface and helper functions. You must use a specific backend in order to make this useful. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-postgresql-dev Description-md5: 392bee4fe6010029a3c378040455372f Description-en: backend for the persistent library using PostgreSQL Based on the postgresql-simple package . Author: Felipe Lessa, Michael Snoyman Upstream-Maintainer: Michael Snoyman . This package contains the normal library files. Package: libghc-persistent-postgresql-doc Description-md5: bb41f17657d4cf616c4facc176d4aba9 Description-en: backend for the persistent library using PostgreSQL; documentation Based on the postgresql-simple package . Author: Felipe Lessa, Michael Snoyman Upstream-Maintainer: Michael Snoyman . This package contains the documentation files. Package: libghc-persistent-postgresql-prof Description-md5: fa465ca8e62131dfbf89311e06f86fe5 Description-en: backend for the persistent library using PostgreSQL; profiling libraries Based on the postgresql-simple package . Author: Felipe Lessa, Michael Snoyman Upstream-Maintainer: Michael Snoyman . This package contains the libraries compiled with profiling enabled. Package: libghc-persistent-prof Description-md5: 1b5f6980dfb6310b1fe6af7b5107cf7e Description-en: type-safe, non-relational, multi-backend persistence; profiling libraries This libraries allows Haskell applications to access a database or other data storage sytems in a type-safe, non-relational way. . This library provides just the general interface and helper functions. You must use a specific backend in order to make this useful. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-sqlite-dev Description-md5: 859260e585f63243a60bcb1ad8b77eb7 Description-en: SQLite3 backend for the persistent library This library allows Haskell applications to access an SQLite database in a type-safe, non-relational way. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-sqlite-doc Description-md5: 154f0708bb0e2338351623a08d8c377b Description-en: SQLite3 backend for the persistent library; documentation This library allows Haskell applications to access an SQLite database in a type-safe, non-relational way. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-persistent-sqlite-prof Description-md5: 08672fc1d13ac22d295dcc438db58038 Description-en: SQLite3 backend for the persistent library; profiling libraries This library allows Haskell applications to access an SQLite database in a type-safe, non-relational way. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pointed-dev Description-md5: 54b34529be8ec9622baeeedfef6be2b4 Description-en: pointed and copointed data Haskell 98 pointed and copointed data types. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pointed-doc Description-md5: db013171fbfca1c4fbf39771846c24d8 Description-en: pointed and copointed data; documentation Haskell 98 pointed and copointed data types. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pointed-prof Description-md5: 3052a56ced3e96e55868b1f45a4b6305 Description-en: pointed and copointed data; profiling libraries Haskell 98 pointed and copointed data types. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-process-extras-dev Description-md5: b08be414d2c9e7694003c3b1e6312a2a Description-en: Extra functionality for the Process library This libraries provides variants of the System.Process module, based on lazy and strict ByteStrings resp. lazy and strict Text. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-process-extras-doc Description-md5: 15791d28e31e58d998d735dfe6f2c43f Description-en: Extra functionality for the Process library; documentation This libraries provides variants of the System.Process module, based on lazy and strict ByteStrings resp. lazy and strict Text. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-process-extras-prof Description-md5: adcb1a9cb7685b6c7519a8b8b1431e34 Description-en: Extra functionality for the Process library; profiling libraries This libraries provides variants of the System.Process module, based on lazy and strict ByteStrings resp. lazy and strict Text. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-propellor-dev Description-md5: 514698f178565f9c61a1b3769d9ece51 Description-en: property-based host configuration management in haskell Propellor ensures that the system it's run in satisfies a list of properties, taking action as necessary when a property is not yet met. . It is configured using haskell. . The easiest way to get started with propellor is to install the binary package `propellor' and run `propellor --init'. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-propellor-doc Description-md5: 0236a3196b8a01d140d353a5138e2db9 Description-en: property-based host configuration management in haskell; documentation Propellor ensures that the system it's run in satisfies a list of properties, taking action as necessary when a property is not yet met. . It is configured using haskell. . The easiest way to get started with propellor is to install the binary package `propellor' and run `propellor --init'. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-propellor-prof Description-md5: ca67ec81e90a01c783ad7a3e40616e02 Description-en: property-based host configuration management in haskell; profiling libraries Propellor ensures that the system it's run in satisfies a list of properties, taking action as necessary when a property is not yet met. . It is configured using haskell. . The easiest way to get started with propellor is to install the binary package `propellor' and run `propellor --init'. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-fu-dev Description-md5: a386d9283189035a30e78cc41fdc1fbc Description-en: random number generation Random number generation based on modeling random variables in two complementary ways: first, by the parameters of standard mathematical distributions and, second, by an abstract type (RVar) which can be composed and manipulated monadically and sampled in either monadic or "pure" styles. . The primary purpose of this library is to support defining and sampling a wide variety of high quality random variables. Quality is prioritized over speed, but performance is an important goal too. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-fu-doc Description-md5: 207139dbdc0de4bb9a1d4334648d0b21 Description-en: random number generation; documentation Random number generation based on modeling random variables in two complementary ways: first, by the parameters of standard mathematical distributions and, second, by an abstract type (RVar) which can be composed and manipulated monadically and sampled in either monadic or "pure" styles. . The primary purpose of this library is to support defining and sampling a wide variety of high quality random variables. Quality is prioritized over speed, but performance is an important goal too. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-fu-prof Description-md5: 114c62edca43341db9fd133f7e4cdd7b Description-en: random number generation; profiling libraries Random number generation based on modeling random variables in two complementary ways: first, by the parameters of standard mathematical distributions and, second, by an abstract type (RVar) which can be composed and manipulated monadically and sampled in either monadic or "pure" styles. . The primary purpose of this library is to support defining and sampling a wide variety of high quality random variables. Quality is prioritized over speed, but performance is an important goal too. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-rio-orphans-dev Description-md5: b69d1c678e3c53d5dc7a04691f68d84b Description-en: Orphan instances for the RIO type in the rio package This Haskell library provides orphan instances for the `RIO` data type. Currently supports: . * `MonadCatch` and `MonadMask` from `exceptions` * `MonadBase` from `transformers-base` * `MonadBaseControl` from `monad-control` * `MonadResource` from `resourcet` . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-rio-orphans-doc Description-md5: 38158dbaa0fbdaaa0bfd28534d5d52c6 Description-en: Orphan instances for the RIO type in the rio package; documentation This Haskell library provides orphan instances for the `RIO` data type. Currently supports: . * `MonadCatch` and `MonadMask` from `exceptions` * `MonadBase` from `transformers-base` * `MonadBaseControl` from `monad-control` * `MonadResource` from `resourcet` . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-rio-orphans-prof Description-md5: 9f3f647f5ca89d4aed60c7c8725d8a22 Description-en: Orphan instances for the RIO type in the rio package; profiling libraries This Haskell library provides orphan instances for the `RIO` data type. Currently supports: . * `MonadCatch` and `MonadMask` from `exceptions` * `MonadBase` from `transformers-base` * `MonadBaseControl` from `monad-control` * `MonadResource` from `resourcet` . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-scotty-dev Description-md5: 87ab6eebbd7d77be0c28585e4429c31d Description-en: Haskell web framework inspired by Ruby's Sinatra A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp. . Scotty is the cheap and cheerful way to write RESTful, declarative web applications. . * A page is as simple as defining the verb, url pattern, and Text content. . * It is template-language agnostic. Anything that returns a Text value will do. . * Conforms to WAI Application interface. . * Uses very fast Warp webserver by default. . As for the name: Sinatra + Warp = Scotty. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-scotty-doc Description-md5: f754e5fff425f02bb2a0522015d1f604 Description-en: Haskell web framework inspired by Ruby's Sinatra; documentation A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp. . Scotty is the cheap and cheerful way to write RESTful, declarative web applications. . * A page is as simple as defining the verb, url pattern, and Text content. . * It is template-language agnostic. Anything that returns a Text value will do. . * Conforms to WAI Application interface. . * Uses very fast Warp webserver by default. . As for the name: Sinatra + Warp = Scotty. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-scotty-prof Description-md5: 801b424aeb72df6fd529915f1830e420 Description-en: Haskell web framework inspired by Ruby's Sinatra; profiling libraries A Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp. . Scotty is the cheap and cheerful way to write RESTful, declarative web applications. . * A page is as simple as defining the verb, url pattern, and Text content. . * It is template-language agnostic. Anything that returns a Text value will do. . * Conforms to WAI Application interface. . * Uses very fast Warp webserver by default. . As for the name: Sinatra + Warp = Scotty. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-sdl2-mixer-dev Description-md5: 8fc07dbff0a68a9ff89664142ed41050 Description-en: Haskell bindings to SDL2_mixer This package contains bindings to the SDL2_mixer library, in both high- and low-level forms: . The SDL namespace contains high-level bindings, where enumerations are split into sum types, and automatic error-checking is performed. . The SDL.Raw namespace contains an almost 1-1 translation of the C API into Haskell FFI calls. As such, this does not contain sum types nor error checking. Thus this namespace is suitable for building your own abstraction over SDL, but is not recommended for day-to-day programming. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-sdl2-mixer-doc Description-md5: 819f62add08a7eb0d963223431264820 Description-en: Haskell bindings to SDL2_mixer; documentation This package contains bindings to the SDL2_mixer library, in both high- and low-level forms: . The SDL namespace contains high-level bindings, where enumerations are split into sum types, and automatic error-checking is performed. . The SDL.Raw namespace contains an almost 1-1 translation of the C API into Haskell FFI calls. As such, this does not contain sum types nor error checking. Thus this namespace is suitable for building your own abstraction over SDL, but is not recommended for day-to-day programming. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-sdl2-mixer-prof Description-md5: 32a43ce1257408c40642fb9ab22d3b94 Description-en: Haskell bindings to SDL2_mixer; profiling libraries This package contains bindings to the SDL2_mixer library, in both high- and low-level forms: . The SDL namespace contains high-level bindings, where enumerations are split into sum types, and automatic error-checking is performed. . The SDL.Raw namespace contains an almost 1-1 translation of the C API into Haskell FFI calls. As such, this does not contain sum types nor error checking. Thus this namespace is suitable for building your own abstraction over SDL, but is not recommended for day-to-day programming. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-serialise-dev Description-md5: ad3bd7e5da3adf93947d7c7eddac8bd3 Description-en: binary serialisation library for Haskell values This package (formerly binary-serialise-cbor) provides pure, efficient serialization of Haskell values directly into ByteStrings for storage or transmission purposes. By providing a set of typeclass instances, you can also serialise any custom data type you have as well. . The underlying binary format used is the 'Concise Binary Object Representation', or CBOR, specified in RFC 7049. As a result, serialised Haskell values have implicit structure outside of the Haskell program itself, meaning they can be inspected or analyzed without custom tools. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-serialise-doc Description-md5: 64ffad5f57e0406e37396164efbafd8b Description-en: binary serialisation library for Haskell values; documentation This package (formerly binary-serialise-cbor) provides pure, efficient serialization of Haskell values directly into ByteStrings for storage or transmission purposes. By providing a set of typeclass instances, you can also serialise any custom data type you have as well. . The underlying binary format used is the 'Concise Binary Object Representation', or CBOR, specified in RFC 7049. As a result, serialised Haskell values have implicit structure outside of the Haskell program itself, meaning they can be inspected or analyzed without custom tools. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-serialise-prof Description-md5: 5d766d99dc3f1c78a858cd20dfe582d6 Description-en: binary serialisation library for Haskell values; profiling libraries This package (formerly binary-serialise-cbor) provides pure, efficient serialization of Haskell values directly into ByteStrings for storage or transmission purposes. By providing a set of typeclass instances, you can also serialise any custom data type you have as well. . The underlying binary format used is the 'Concise Binary Object Representation', or CBOR, specified in RFC 7049. As a result, serialised Haskell values have implicit structure outside of the Haskell program itself, meaning they can be inspected or analyzed without custom tools. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-core-dev Description-md5: 2cf9f75c07c5f2cb2d650f3ca32dc223 Description-en: Core functionality and class for client function generation for servant APIs This library provides backend-agnostic generation of client functions. For more information, see the README. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-core-doc Description-md5: b5a9dca751c4c166618f8b292000b095 Description-en: Core functionality and class for client function generation for servant APIs; documentation This library provides backend-agnostic generation of client functions. For more information, see the README. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-core-prof Description-md5: b07be6e590b73c4fb72974ff4ed32920 Description-en: Core functionality and class for client function generation for servant APIs; profiling libraries This library provides backend-agnostic generation of client functions. For more information, see the README. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-dev Description-md5: f4adc49a00b627d96663a1dd2ae6cba3 Description-en: library for writing clients for servant webservices This library automatically derives functions for writing clients for webservices produced using the servant library. . See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html for a tutorial. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-doc Description-md5: 0232d5a6baeedbe285c259c0bcfdab34 Description-en: library for writing clients for servant webservices; documentation This library automatically derives functions for writing clients for webservices produced using the servant library. . See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html for a tutorial. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-client-prof Description-md5: 8fb40ad9252fb413cf9bb9bf2c952a3d Description-en: library for writing clients for servant webservices; profiling libraries This library automatically derives functions for writing clients for webservices produced using the servant library. . See http://haskell-servant.readthedocs.org/en/stable/tutorial/Client.html for a tutorial. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-dev Description-md5: 98d1b6d97c5eb85d54bcd6cebd4f55ed Description-en: family of combinators for defining webservices APIs A family of combinators for defining webservices APIs and serving them. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-doc Description-md5: 188d969b4db0abf682074cdc7722b908 Description-en: family of combinators for defining webservices APIs; documentation A family of combinators for defining webservices APIs and serving them. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-prof Description-md5: e5c8eb73698422216cd909b54f8e0291 Description-en: family of combinators for defining webservices APIs; profiling libraries A family of combinators for defining webservices APIs and serving them. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-server-dev Description-md5: e451e824ef518ab511769d7211f18817 Description-en: combinators for defining webservices APIs and serving them A family of combinators for defining web services APIs and serving them. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-server-doc Description-md5: e8a043f9083b740a03079d2b75e033df Description-en: combinators for defining webservices APIs and serving them; documentation A family of combinators for defining web services APIs and serving them. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-servant-server-prof Description-md5: 9eee81b04ca43ace22056031f450f65f Description-en: combinators for defining webservices APIs and serving them; profiling libraries A family of combinators for defining web services APIs and serving them. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-shelly-dev Description-md5: 45278d0ecfd74960a781254588ecb2c9 Description-en: shell-like (systems) programming in Haskell Shelly provides convenient systems programming in Haskell, similar in spirit to POSIX shells. Shelly: . * is aimed at convenience and getting things done rather than being a demonstration of elegance * has detailed and useful error messages * maintains its own environment, making it thread-safe * is modern, using Text and system-filepath/system-fileio . Shelly is originally forked from the Shellish package. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-shelly-doc Description-md5: afc17c294a701be3efd339f16b89ccbf Description-en: shell-like (systems) programming in Haskell; documentation Shelly provides convenient systems programming in Haskell, similar in spirit to POSIX shells. Shelly: . * is aimed at convenience and getting things done rather than being a demonstration of elegance * has detailed and useful error messages * maintains its own environment, making it thread-safe * is modern, using Text and system-filepath/system-fileio . Shelly is originally forked from the Shellish package. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-shelly-prof Description-md5: 6905e9b45a3532ab6cd2fe8eab89ec99 Description-en: shell-like (systems) programming in Haskell; profiling libraries Shelly provides convenient systems programming in Haskell, similar in spirit to POSIX shells. Shelly: . * is aimed at convenience and getting things done rather than being a demonstration of elegance * has detailed and useful error messages * maintains its own environment, making it thread-safe * is modern, using Text and system-filepath/system-fileio . Shelly is originally forked from the Shellish package. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-core-dev Description-md5: 66e36387e66e42ff32d1ead99232e367 Description-en: syntax highlighting library Skylighting is a syntax highlighting library. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides the core highlighting functionality under a permissive license. It also bundles XML parser definitions licensed under the GPL. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-core-doc Description-md5: 9f0e58fb8496c78c0283d728f5873c0d Description-en: syntax highlighting library; documentation Skylighting is a syntax highlighting library. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides the core highlighting functionality under a permissive license. It also bundles XML parser definitions licensed under the GPL. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-core-prof Description-md5: bdf7aa4b42d380cccbb3ac9e1365a0d2 Description-en: syntax highlighting library; profiling libraries Skylighting is a syntax highlighting library. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides the core highlighting functionality under a permissive license. It also bundles XML parser definitions licensed under the GPL. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-dev Description-md5: 6688f265a30879d1d45d667e9e9ebe22 Description-en: syntax highlighting library Skylighting is a syntax highlighting library with support for over one hundred languages. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-doc Description-md5: b12970e42fd30f9a33b7e7cce7ac8c92 Description-en: syntax highlighting library; documentation Skylighting is a syntax highlighting library with support for over one hundred languages. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-ansi-dev Description-md5: a928a5529674950aa77d5cf1e3443373 Description-en: ANSI formatter for skylighting syntax highlighting library This module allows tokens produced by skylighting-core to be rendered as ANSI colored text. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-ansi-doc Description-md5: a047a4946cb715c6a9c896a26fadb096 Description-en: ANSI formatter for skylighting syntax highlighting library; documentation This module allows tokens produced by skylighting-core to be rendered as ANSI colored text. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-ansi-prof Description-md5: 255694ea69a43205e3f05a53ad2a3765 Description-en: ANSI formatter for skylighting syntax highlighting library; profiling libraries This module allows tokens produced by skylighting-core to be rendered as ANSI colored text. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-blaze-html-dev Description-md5: 5834c2ebfc17dd6c2a512f42e9885142 Description-en: HTML formatter for skylighting syntax highlighting library This module allows tokens produced by skylighting-core to be rendered as HTML. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-blaze-html-doc Description-md5: 74b042e5650e9fa55014e3adb9c8e5cc Description-en: HTML formatter for skylighting syntax highlighting library; documentation This module allows tokens produced by skylighting-core to be rendered as HTML. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-blaze-html-prof Description-md5: bdb91a1f2476920e9de6c444f0fefea1 Description-en: HTML formatter for skylighting syntax highlighting library; profiling libraries This module allows tokens produced by skylighting-core to be rendered as HTML. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-context-dev Description-md5: 9764db06bfc03eb808b7c0dbb4f2c2a5 Description-en: ConTeXt formatter for skylighting syntax highlighting library This module allows tokens produced by skylighting-core to be rendered as ConTeXt commands. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-context-doc Description-md5: 24b59f4593d01b2a6bade167cbcc15e0 Description-en: ConTeXt formatter for skylighting syntax highlighting library; documentation This module allows tokens produced by skylighting-core to be rendered as ConTeXt commands. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-context-prof Description-md5: cb0f3112c76db8de2a1c8a4674f124e1 Description-en: ConTeXt formatter for skylighting syntax highlighting library; profiling libraries This module allows tokens produced by skylighting-core to be rendered as ConTeXt commands. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-latex-dev Description-md5: 0c5d010c261f92b5bf317f42e1f4b790 Description-en: LaTeX formatter for skylighting syntax highlighting library This module allows tokens produced by skylighting-core to be rendered as LaTeX macros. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-latex-doc Description-md5: 1fcdb644881f51387bf4d38c0f6c17f3 Description-en: LaTeX formatter for skylighting syntax highlighting library; documentation This module allows tokens produced by skylighting-core to be rendered as LaTeX macros. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-format-latex-prof Description-md5: 0ca9dc573b4603bb9821d0eb115b74e7 Description-en: LaTeX formatter for skylighting syntax highlighting library; profiling libraries This module allows tokens produced by skylighting-core to be rendered as LaTeX macros. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-skylighting-prof Description-md5: 6e6a59e6cc458a704053a4d56c637d0e Description-en: syntax highlighting library; profiling libraries Skylighting is a syntax highlighting library with support for over one hundred languages. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-snap-core-dev Description-md5: cf247452e8f65870e5779cf18e879ecf Description-en: Snap: A Haskell Web Framework (Core) Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the normal library files. Package: libghc-snap-core-doc Description-md5: edde063ac241baf5ab01ae4884ad27b8 Description-en: Snap: A Haskell Web Framework (Core); documentation Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the documentation files. Package: libghc-snap-core-prof Description-md5: 7e223748f4b56c345efb035c10be3d94 Description-en: Snap: A Haskell Web Framework (Core); profiling libraries Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the libraries compiled with profiling enabled. Package: libghc-snap-dev Description-md5: 495533dcc38cac99d0ab4292877362d9 Description-en: Snap Web Framework This is the top-level package for the official Snap Framework libraries. It includes: . * The Snaplets API . * Snaplets for sessions, authentication, and templates . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-snap-doc Description-md5: 071ac50bd57c8469291bc90800195fe8 Description-en: Snap Web Framework; documentation This is the top-level package for the official Snap Framework libraries. It includes: . * The Snaplets API . * Snaplets for sessions, authentication, and templates . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-snap-prof Description-md5: d15891dda151d6877d87166c064afca2 Description-en: Snap Web Framework; profiling libraries This is the top-level package for the official Snap Framework libraries. It includes: . * The Snaplets API . * Snaplets for sessions, authentication, and templates . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-snap-server-dev Description-md5: e5ff3243eaaabdcab9dec2d2483b0ae4 Description-en: iteratee-based, epoll-enabled web server for the Snap Framework Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the normal library files. Package: libghc-snap-server-doc Description-md5: ababe7f626240c3f35d4441f9a9db32d Description-en: iteratee-based, epoll-enabled web server for the Snap Framework; documentation Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the documentation files. Package: libghc-snap-server-prof Description-md5: b0a624f91c71da52d0eaa97ca04dd113 Description-en: iteratee-based, epoll-enabled web server for the Snap Framework; profiling lib Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the libraries compiled with profiling enabled. Package: libghc-src-exts-util-dev Description-md5: e288e01127636c05e336b3be06b9e6f4 Description-en: helper functions for working with haskell-src-exts trees A small suite of helper functions for working with haskell-src-exts: * Free variables * Bound variables * Minimal (approximate) rebracketing of AST values such that prettyprint roundtrips. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-src-exts-util-doc Description-md5: 80dbe4c6803598af2e98ca448e76cd52 Description-en: helper functions for working with haskell-src-exts trees; documentation A small suite of helper functions for working with haskell-src-exts: * Free variables * Bound variables * Minimal (approximate) rebracketing of AST values such that prettyprint roundtrips. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-src-exts-util-prof Description-md5: bb317ad75b90bf31520935d0e312fd31 Description-en: helper functions for working with haskell-src-exts trees; profiling libraries A small suite of helper functions for working with haskell-src-exts: * Free variables * Bound variables * Minimal (approximate) rebracketing of AST values such that prettyprint roundtrips. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-statistics-dev Description-md5: fdef0f09d1e44ef5e2c6e764cdee80dc Description-en: A library of statistical types, data, and functions This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, regression and autocorrelation analysis. . Random variate generation under several different distributions. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-statistics-doc Description-md5: c02e66a35d02ee578723b8968d648a4f Description-en: A library of statistical types, data, and functions; documentation This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, and autocorrelation analysis. . Random variate generation under several different distributions. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-statistics-prof Description-md5: 7428867a440f8abe033562bed8c0b323 Description-en: A library of statistical types, data, and functions; profiling libraries This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, and autocorrelation analysis. . Random variate generation under several different distributions. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-status-notifier-item-dev Description-md5: 1bc24236d9fad91ce525af51613a595a Description-en: StatusNotifierItem/libappindicator dbus implementation A Haskell implementation of the StatusNotifierItem protocol (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-status-notifier-item-doc Description-md5: eb8f5860f495ac77e1099f758dd6eb2c Description-en: StatusNotifierItem/libappindicator dbus implementation; documentation A Haskell implementation of the StatusNotifierItem protocol (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-status-notifier-item-prof Description-md5: 17eca159d7ececb86b86a62c7651e423 Description-en: StatusNotifierItem/libappindicator dbus implementation; profiling libraries A Haskell implementation of the StatusNotifierItem protocol (https://www.freedesktop.org/wiki/Specifications/StatusNotifierItem/). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tagstream-conduit-dev Description-md5: 5a2dda94062bc93294f60e8ef240dd00 Description-en: streamlined HTML tag parser Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the normal library files. Package: libghc-tagstream-conduit-doc Description-md5: 72843c96e2e3f5eb8c27db530c2a2c98 Description-en: streamlined HTML tag parser; documentation Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the documentation files. Package: libghc-tagstream-conduit-prof Description-md5: 540f3675a1bfa74eb561841b2f71e19b Description-en: streamlined HTML tag parser; profiling libraries Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the libraries compiled with profiling enabled. Package: libghc-tldr-dev Description-md5: f78a2f1aad436245738b861a20f0a23e Description-en: Haskell tldr client Haskell tldr client with support for updating and viewing tldr pages. . The TLDR pages are a community effort to simplify the beloved man pages with practical examples. See https://tldr.sh/ . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tldr-doc Description-md5: aa01eaecabe9d0150f06e29916635ce2 Description-en: Haskell tldr client; documentation Haskell tldr client with support for updating and viewing tldr pages. . The TLDR pages are a community effort to simplify the beloved man pages with practical examples. See https://tldr.sh/ . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tldr-prof Description-md5: df7e526f1facc37904ec65b98cb219bd Description-en: Haskell tldr client; profiling libraries Haskell tldr client with support for updating and viewing tldr pages. . The TLDR pages are a community effort to simplify the beloved man pages with practical examples. See https://tldr.sh/ . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-dev Description-md5: 325ef32d8a31c9ec636af6fd8be91268 Description-en: native Haskell implementation of TLS/SSL protocol Native Haskell TLS and SSL protocol implementation for server and client. . This provides a high-level implementation of a sensitive security protocol, eliminating a common set of security issues through the use of the advanced type system, high level constructions and common Haskell features. . It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2 protocols, and supports RSA and Ephemeral (Elliptic curve and regular) Diffie Hellman key exchanges, and many extensions. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-doc Description-md5: 2c798d2c9037230cbcff61e48338a578 Description-en: native Haskell implementation of TLS/SSL protocol; documentation Native Haskell TLS and SSL protocol implementation for server and client. . This provides a high-level implementation of a sensitive security protocol, eliminating a common set of security issues through the use of the advanced type system, high level constructions and common Haskell features. . It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2 protocols, and supports RSA and Ephemeral (Elliptic curve and regular) Diffie Hellman key exchanges, and many extensions. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-prof Description-md5: 25828b0cdb0483ce892c5b670f03be58 Description-en: native Haskell implementation of TLS/SSL protocol; profiling libraries Native Haskell TLS and SSL protocol implementation for server and client. . This provides a high-level implementation of a sensitive security protocol, eliminating a common set of security issues through the use of the advanced type system, high level constructions and common Haskell features. . It currently implements the SSL3.0, TLS1.0, TLS1.1 and TLS1.2 protocols, and supports RSA and Ephemeral (Elliptic curve and regular) Diffie Hellman key exchanges, and many extensions. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-session-manager-dev Description-md5: e81718b0fb982895e5843ce03687820f Description-en: in-memory TLS session manager TLS session manager with limitation, automatic pruning, energy saving and replay resistance. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-session-manager-doc Description-md5: 57d860dd8746f8fd64d6984341440b17 Description-en: in-memory TLS session manager; documentation TLS session manager with limitation, automatic pruning, energy saving and replay resistance. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tls-session-manager-prof Description-md5: 5375a8f90a84373a0d5eed5b72f97be5 Description-en: in-memory TLS session manager; profiling libraries TLS session manager with limitation, automatic pruning, energy saving and replay resistance. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-twitter-conduit-dev Description-md5: 85f7bf1370084119088f8eb986118128 Description-en: Twitter API package with conduits and streaming This library provides a conduit-based interface to Twitter's APIs. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-twitter-conduit-doc Description-md5: 0f3e8ccb68b4c859e239163411ecabaa Description-en: Twitter API package with conduits and streaming; documentation This library provides a conduit-based interface to Twitter's APIs. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-twitter-conduit-prof Description-md5: 7cd75eaf3c89744f3703255707a40e4b Description-en: Twitter API package with conduits and streaming; profiling libraries This library provides a conduit-based interface to Twitter's APIs. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-typst-dev Description-md5: ab9c85c63e6135149dccac7ca581b843 Description-en: Parsing and evaluating typst syntax. A library for parsing and evaluating typst syntax. Typst () is a document layout and formatting language. This library targets typst 0.4 and currently offers only partial support. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-typst-doc Description-md5: a0452da56c10491c6a26d9decd27d3d2 Description-en: Parsing and evaluating typst syntax.; documentation A library for parsing and evaluating typst syntax. Typst () is a document layout and formatting language. This library targets typst 0.4 and currently offers only partial support. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-typst-prof Description-md5: a61a046b92e6fbc06c0f681ec119ae4e Description-en: Parsing and evaluating typst syntax.; profiling libraries A library for parsing and evaluating typst syntax. Typst () is a document layout and formatting language. This library targets typst 0.4 and currently offers only partial support. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unix-compat-dev Description-md5: 8319125b2c70cb25a5cb7cadcde274cc Description-en: Haskell portable POSIX-compatible layer This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unix-compat-doc Description-md5: 223c24f07cd943202ad8fe4937aad5bb Description-en: Haskell portable POSIX-compatible layer; documentation This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unix-compat-prof Description-md5: cdd52c709af64ef9d56871401af9770c Description-en: Haskell portable POSIX-compatible layer; profiling libraries This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unixutils-dev Description-md5: e42c91fbff24ef8cef97c4af69c451d3 Description-en: interface between Haskell and Unix-like operating systems Unixutils is a collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unixutils-doc Description-md5: 1977ad7cbc45c0962a195cd9412ddcb8 Description-en: interface between Haskell and Unix-like operating systems; documentation Unixutils is a collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unixutils-prof Description-md5: c44df558293c94ba5a7d67272b4afcaa Description-en: interface between Haskell and Unix-like operating systems; profiling libraries Unixutils is a collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vector-instances-dev Description-md5: 43aed51e34c9488e1fe24f91fd7572aa Description-en: orphan instances for Data.Vector Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey, Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend, Unbox, Storable, and Prim instances for Vector. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vector-instances-doc Description-md5: a637ad494f788d6fc6b505d16a3eb4a8 Description-en: orphan instances for Data.Vector; documentation Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey, Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend, Unbox, Storable, and Prim instances for Vector. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vector-instances-prof Description-md5: 23b186e0daf97350dd1e53fce96af9f0 Description-en: orphan instances for Data.Vector; profiling libraries Keyed, Zip, ZipWithKey, Indexable, Lookup, Adjustable, FoldableWithKey, Apply, Pointed, Bind, Semigroup, Alt, Plus, TraversableWithKey, Extend, Unbox, Storable, and Prim instances for Vector. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-file-cgi-dev Description-md5: 8503dd91a8b4d775c768681c019a6efc Description-en: file/CGI app of WAI This WAI application handles static files and executes CGI scripts. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-file-cgi-doc Description-md5: f4ef831d4c2292302ad33ba512797293 Description-en: file/CGI app of WAI; documentation This WAI application handles static files and executes CGI scripts. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-file-cgi-prof Description-md5: 75a934122a864a4b6f86329ebc6485f5 Description-en: file/CGI app of WAI; profiling libraries This WAI application handles static files and executes CGI scripts. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-static-dev Description-md5: 36103ac9effb0f33a22ed1caa8a0898d Description-en: framework for type-safe, RESTful web applications Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-static-doc Description-md5: 152554b907b9d4f378b6ba9aff5b0266 Description-en: framework for type-safe, RESTful web applications; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-app-static-prof Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b Description-en: framework for type-safe, RESTful web applications; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-extra-dev Description-md5: 176ab107db463b475aae2c3379d6d0da Description-en: some basic WAI handlers and middleware wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-extra-doc Description-md5: 84c007665ea7805da71e34d554c3a543 Description-en: some basic WAI handlers and middleware; documentation wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-extra-prof Description-md5: 6312cb4018937e3075b2f84fb18d4296 Description-en: some basic WAI handlers and middleware; profiling libraries wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-dev Description-md5: 93c84f09d11f542a5686ebc2e9c86f9d Description-en: logging system for WAI This is a logging system for WAI application. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-doc Description-md5: 46223a07b37ac74f79757544e91e50f0 Description-en: logging system for WAI; documentation This is a logging system for WAI application. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-prof Description-md5: b09d1627258eb5a15b455054f1305e58 Description-en: logging system for WAI; profiling libraries This is a logging system for WAI application. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-warp-tls-dev Description-md5: 4ab5556ac0fcf040a7d6f6bd82bcabb8 Description-en: SSL/TLS support for Warp It contains SSL/TLS support for Warp, the premier WAI handler, via the native Haskell TLS implementation. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-warp-tls-doc Description-md5: 8fd2e5261d755b6a6ed050e90c76d14f Description-en: SSL/TLS support for Warp; documentation It contains SSL/TLS support for Warp, the premier WAI handler, via the native Haskell TLS implementation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-warp-tls-prof Description-md5: faa04db10902670b3089737b3225a3b3 Description-en: SSL/TLS support for Warp; profiling libraries It contains SSL/TLS support for Warp, the premier WAI handler, via the native Haskell TLS implementation. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-weigh-dev Description-md5: 30946e07c27ac379411c585c9ae0eb50 Description-en: measure allocations of a Haskell functions/values Weigh is a framework for seeing how much a function allocates. It can weigh pure functions as well as IO actions. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-weigh-doc Description-md5: f10249cef697fb6a1756fb50e750b701 Description-en: measure allocations of a Haskell functions/values; documentation Weigh is a framework for seeing how much a function allocates. It can weigh pure functions as well as IO actions. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-weigh-prof Description-md5: 476dba3cdf542eb84b95b1e08e4c593c Description-en: measure allocations of a Haskell functions/values; profiling libraries Weigh is a framework for seeing how much a function allocates. It can weigh pure functions as well as IO actions. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wreq-dev Description-md5: 27ff035d58ac688b6de1ff37f59b1e6d Description-en: easy-to-use HTTP client library A web client library that is designed for ease of use. . Features include: * Simple but powerful `lens`-based API * A solid test suite, and built on reliable libraries like http-client and lens * Session handling includes connection keep-alive and pooling, and cookie persistence * Automatic response body decompression * Powerful multipart form and file upload handling * Support for JSON requests and responses, including navigation of schema-less responses * Basic and OAuth2 bearer authentication * Early TLS support via the tls package . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wreq-doc Description-md5: d9923d20334e4bd748138f6be04d14a3 Description-en: easy-to-use HTTP client library; documentation A web client library that is designed for ease of use. . Features include: * Simple but powerful `lens`-based API * A solid test suite, and built on reliable libraries like http-client and lens * Session handling includes connection keep-alive and pooling, and cookie persistence * Automatic response body decompression * Powerful multipart form and file upload handling * Support for JSON requests and responses, including navigation of schema-less responses * Basic and OAuth2 bearer authentication * Early TLS support via the tls package . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wreq-prof Description-md5: 641dbabc6a45cc73269e7fa558b1f661 Description-en: easy-to-use HTTP client library; profiling libraries A web client library that is designed for ease of use. . Features include: * Simple but powerful `lens`-based API * A solid test suite, and built on reliable libraries like http-client and lens * Session handling includes connection keep-alive and pooling, and cookie persistence * Automatic response body decompression * Powerful multipart form and file upload handling * Support for JSON requests and responses, including navigation of schema-less responses * Basic and OAuth2 bearer authentication * Early TLS support via the tls package . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-dev Description-md5: 03f08778af5b67472b45be4347af0d95 Description-en: Haskell X11 binding for GHC This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-doc Description-md5: e1c0169cc96bd17c8fad44624565450f Description-en: Haskell X11 binding for GHC; documentation This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-prof Description-md5: d7eafcc06a005d711c7e733584431184 Description-en: Haskell X11 binding for GHC; profiling libraries This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-xft-dev Description-md5: c1c14be06741a8788109e69363950b2c Description-en: Haskell Xft binding for GHC This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-xft-doc Description-md5: 2d694498055ce3e5cd43c892cd8e2968 Description-en: Haskell Xft binding for GHC; documentation This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-xft-prof Description-md5: 201138e4451e122ae35b81fb3e859383 Description-en: Haskell Xft binding for GHC; profiling libraries This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x509-validation-dev Description-md5: 99fa622b3421fbdd3eaa5e66d8fd2194 Description-en: X.509 certificate and CRL validation X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x509-validation-doc Description-md5: c632db270009abcdbd0b081cf88979b8 Description-en: X.509 certificate and CRL validation; documentation X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x509-validation-prof Description-md5: e11189e66ad39bf596b2fcd7b3a9915c Description-en: X.509 certificate and CRL validation; profiling libraries X.509 certificate/CRL checks and validations routines, following RFC5280 / RFC6818. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-conduit-dev Description-md5: 31f05e92091af9349f59312434c2d4ca Description-en: HTTP client package with conduit interface and HTTPS support This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-conduit-doc Description-md5: 3f877feec0efbcb17c998e93cd241ecd Description-en: HTTP client package with conduit interface and HTTPS support; documentation This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-conduit-prof Description-md5: d6b34f6d63b191f08f219b68c0a21d40 Description-en: HTTP/HTTPS client package with conduit interface; profiling libraries This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-hamlet-dev Description-md5: 269e97bfeb981bc67fecc1c42f03a2e9 Description-en: Hamlet-style quasiquoter for XML content This library provides a type-safe tool for generating XML code. It works via Quasi-Quoting, and generates extremely efficient output code. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-hamlet-doc Description-md5: 50d5df79b46847f0abc207212585b63e Description-en: Hamlet-style quasiquoter for XML content; documentation This library provides a type-safe tool for generating XML code. It works via Quasi-Quoting, and generates extremely efficient output code. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-hamlet-prof Description-md5: 70c0f602cd1c0c47892082c7aa14ed27 Description-en: Hamlet-style quasiquoter for XML content; profiling libraries This library provides a type-safe tool for generating XML code. It works via Quasi-Quoting, and generates extremely efficient output code. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-html-qq-dev Description-md5: 80b72a8406251c59bd0c44c28637b2fd Description-en: quasi-quoters for XML and HTML Documents This package provides quasi-quoters for HTML and XML, both with and without variable interpolation and control statements. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-html-qq-doc Description-md5: 91c386428b72f2638ccbbbd2ab2dab61 Description-en: quasi-quoters for XML and HTML Documents; documentation This package provides quasi-quoters for HTML and XML, both with and without variable interpolation and control statements. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-html-qq-prof Description-md5: 8feae6415588fcf64c8609a9bcbc5b0c Description-en: quasi-quoters for XML and HTML Documents; profiling libraries This package provides quasi-quoters for HTML and XML, both with and without variable interpolation and control statements. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-contrib-dev Description-md5: 974fe40f0fb1e57fa65270d1e9506790 Description-en: Extensions to xmonad This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to build your custom configured xmonad binary. Package: libghc-xmonad-contrib-doc Description-md5: 93a68a39d8db9e574cfea7042d22786c Description-en: Extensions to xmonad; documentation This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package contains the documentation for building your custom configured xmonad binary as well as example configuration files. Package: libghc-xmonad-contrib-prof Description-md5: dc4a6b1eabfe7f0ac86c6a1d9c5b8860 Description-en: Extensions to xmonad; profiling libraries This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to profile your custom configured xmonad binary. Package: libghc-xmonad-dev Description-md5: bdf0987921d4b9e7778995694cd01337 Description-en: Lightweight X11 window manager Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to build your custom configured xmonad binary. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-doc Description-md5: 3e58cea26774e4927ecd5b6e33cd8cbb Description-en: Lightweight X11 window manager; documentation Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package contains the documentation for building your custom configured xmonad binary as well as example configuration files. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-extras-dev Description-md5: 97e61bfd58347c6f7e193e4f0bc2a6c5 Description-en: third party extensions for xmonad with wacky dependencies Various modules for xmonad that cannot be added to xmonad-contrib because of additional dependencies. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-extras-doc Description-md5: 2972822cd346710a90d326c3a55221a7 Description-en: third party extensions for xmonad with wacky dependencies; documentation Various modules for xmonad that cannot be added to xmonad-contrib because of additional dependencies. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-extras-prof Description-md5: f98d8191c02cfc4ed2b829f641a6d191 Description-en: third party extensions for xmonad with wacky dependencies; profiling libraries Various modules for xmonad that cannot be added to xmonad-contrib because of additional dependencies. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-prof Description-md5: 1022d6ae13afbcb5cfd9be973454c43f Description-en: Lightweight X11 window manager; profiling libraries Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to profile your custom configured xmonad binary. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-wallpaper-dev Description-md5: 2f10232042dff319ecc0ba7b298ea32d Description-en: xmonad wallpaper extension Designed for xmonad users who rely on feh to setup wallpaper. It allows user to setup a random image as the wallpaper chosen from user specified image directories. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-wallpaper-doc Description-md5: d0507ebef5b47defdac13d2453fb8b97 Description-en: xmonad wallpaper extension; documentation Designed for xmonad users who rely on feh to setup wallpaper. It allows user to setup a random image as the wallpaper chosen from user specified image directories. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xmonad-wallpaper-prof Description-md5: d2e88e0f4c34a7b0adab945df5bf4b73 Description-en: xmonad wallpaper extension; profiling libraries Designed for xmonad users who rely on feh to setup wallpaper. It allows user to setup a random image as the wallpaper chosen from user specified image directories. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-dev Description-md5: 403b8876fc915768044c10300e9e69c4 Description-en: authentication for Yesod yesod-auth is the authentication component of Yesod. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-doc Description-md5: 64af917cff8f1826f883fc583f4559bb Description-en: authentication for Yesod; documentation yesod-auth is the authentication component of Yesod. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-hashdb-dev Description-md5: bee7a6344b4a1e5c7da3e095ac21a767 Description-en: HashDB authentication plugin for Yesod web framework This package is the Yesod.Auth.HashDB plugin, originally included in yesod-auth, but now modified to be more secure and placed in a separate package. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-hashdb-doc Description-md5: 76b39dde1c4701eaa843b4ba6ba8072c Description-en: HashDB authentication plugin for Yesod web framework; documentation This package is the Yesod.Auth.HashDB plugin, originally included in yesod-auth, but now modified to be more secure and placed in a separate package. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-hashdb-prof Description-md5: 78dbf5fdb66763f6469245a8d140c381 Description-en: HashDB authentication plugin for Yesod web framework; profiling libraries This package is the Yesod.Auth.HashDB plugin, originally included in yesod-auth, but now modified to be more secure and placed in a separate package. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-auth-oauth-dev Description-md5: 8e1fadea7dfdcac8c3a12d56b874a09e Description-en: OAuth Authentication for Yesod OAuth authentication for Yesod. . This package contains the normal library files. Package: libghc-yesod-auth-oauth-doc Description-md5: 675aeb3e4c7b5348e823225f11eac9d4 Description-en: OAuth Authentication for Yesod; documentation OAuth authentication for Yesod. . This package contains the documentation files. Package: libghc-yesod-auth-oauth-prof Description-md5: 785abeb22e835b92ae7c45b679e7d3f7 Description-en: OAuth Authentication for Yesod; profiling libraries OAuth authentication for Yesod. . This package contains the libraries compiled with profiling enabled. Package: libghc-yesod-auth-prof Description-md5: a17bc25b2506a968225615d547c37908 Description-en: authentication for Yesod; profiling libraries yesod-auth is the authentication component of Yesod. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-core-dev Description-md5: 36103ac9effb0f33a22ed1caa8a0898d Description-en: framework for type-safe, RESTful web applications Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-core-doc Description-md5: 152554b907b9d4f378b6ba9aff5b0266 Description-en: framework for type-safe, RESTful web applications; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-core-prof Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b Description-en: framework for type-safe, RESTful web applications; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-default-dev Description-md5: 71eb7823b1b379701a4e934c6940d9a7 Description-en: default config and main functions for your Yesod application This is a temporary shim to make things easier for git-annex. It serves no other purpose. . This package contains the normal library files. Package: libghc-yesod-default-doc Description-md5: e544e438efbdf7e24646614d52d4c1cc Description-en: default config and main functions for your Yesod application; documentation This is a temporary shim to make things easier for git-annex. It serves no other purpose. . This package contains the documentation files. Package: libghc-yesod-default-prof Description-md5: eaf5c7c902b7b2c2f87c0ac784f9dfd8 Description-en: default config/main functions for your Yesod application; profiling libraries This is a temporary shim to make things easier for git-annex. It serves no other purpose. . This package contains the libraries compiled with profiling enabled. Package: libghc-yesod-dev Description-md5: 36103ac9effb0f33a22ed1caa8a0898d Description-en: framework for type-safe, RESTful web applications Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-doc Description-md5: 152554b907b9d4f378b6ba9aff5b0266 Description-en: framework for type-safe, RESTful web applications; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-form-dev Description-md5: 36103ac9effb0f33a22ed1caa8a0898d Description-en: framework for type-safe, RESTful web applications Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-form-doc Description-md5: 152554b907b9d4f378b6ba9aff5b0266 Description-en: framework for type-safe, RESTful web applications; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-form-prof Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b Description-en: framework for type-safe, RESTful web applications; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-newsfeed-dev Description-md5: dd11ece21b1aefee3a29792edbd2e8cf Description-en: helper functions and data types for producing newsfeeds Helper functions and data types for producing newsfeeds (Atom and RSS). . This package contains the normal library files. Package: libghc-yesod-newsfeed-doc Description-md5: 4336b82fccd9ab3581886d85f245333c Description-en: helper functions and data types for producing newsfeeds; documentation Helper functions and data types for producing newsfeeds (Atom and RSS). . This package contains the documentation files. Package: libghc-yesod-newsfeed-prof Description-md5: 1822df69fc1f8968dcbdfb7f66fc7e05 Description-en: helper functions and data types for producing newsfeeds; profiling libraries Helper functions and data types for producing newsfeeds (Atom and RSS). . This package contains the libraries compiled with profiling enabled. Package: libghc-yesod-persistent-dev Description-md5: 36103ac9effb0f33a22ed1caa8a0898d Description-en: framework for type-safe, RESTful web applications Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-persistent-doc Description-md5: 152554b907b9d4f378b6ba9aff5b0266 Description-en: framework for type-safe, RESTful web applications; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-persistent-prof Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b Description-en: framework for type-safe, RESTful web applications; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-prof Description-md5: 78be51bdb83f5f5ab443183c7bf1da2b Description-en: framework for type-safe, RESTful web applications; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-static-dev Description-md5: bcfcc2680f86466f569fbe5e7e1f4200 Description-en: Static file serving subsite for Yesod Web Framework. Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides static file serving functionality for the Yesod Web Framework. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-static-doc Description-md5: 9b307ad78648126c52d62116d33df6d9 Description-en: Static file serving subsite for Yesod Web Framework; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides static file serving functionality for the Yesod Web Framework. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-static-prof Description-md5: 35cfe99dc2822366ebf77cedf7464c72 Description-en: Static file serving subsite for Yesod Web Framework; profiling libraries Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides static file serving functionality for the Yesod Web Framework. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yesod-test-dev Description-md5: 7e7f4a4f5a20c321a1064d2dc8a24638 Description-en: integration testing for WAI/Yesod applications Behavior-oriented integration testing for Yesod applications. . This package contains the normal library files. Package: libghc-yesod-test-doc Description-md5: 759b19a853f6eef1ccba29e9c4daa483 Description-en: integration testing for WAI/Yesod applications; documentation Behavior-oriented integration testing for Yesod applications. . This package contains the documentation files. Package: libghc-yesod-test-prof Description-md5: 9a165bcc6d02054ec0cb82e4c0bcfe8d Description-en: integration testing for WAI/Yesod applications; profiling libraries Behavior-oriented integration testing for Yesod applications. . This package contains the libraries compiled with profiling enabled. Package: libghc-yi-core-dev Description-md5: 82fc8fd1c08e776fc9c19f9b32d49e8b Description-en: Yi editor core library Core types and functions for the Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-core-doc Description-md5: 31d7a5a82a4808631d29fdbca394d140 Description-en: Yi editor core library; documentation Core types and functions for the Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-core-prof Description-md5: 201e7fc752eca239ea766134e076aeef Description-en: Yi editor core library; profiling libraries Core types and functions for the Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-pango-dev Description-md5: 8b71662d97eb82f371935af536b62c4e Description-en: Pango frontend for Yi editor This package contains the Pango frontend for Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-pango-doc Description-md5: ab7e785fd34c886b16d6fa95343c8547 Description-en: Pango frontend for Yi editor; documentation This package contains the Pango frontend for Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-pango-prof Description-md5: 59200e9d6b3f69f5d31a6f7d8d8b26fc Description-en: Pango frontend for Yi editor; profiling libraries This package contains the Pango frontend for Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-vty-dev Description-md5: 6534d0657213f6986fc0f98ab27c95f9 Description-en: Vty frontend for Yi editor This package contains the Vty frontend for Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-vty-doc Description-md5: 282d040629cab5bf763f5d3d289e6901 Description-en: Vty frontend for Yi editor; documentation This package contains the Vty frontend for Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-frontend-vty-prof Description-md5: 13d33d3bebf0f07f6d823e81e9e39499 Description-en: Vty frontend for Yi editor; profiling libraries This package contains the Vty frontend for Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-emacs-dev Description-md5: 91a741f61a5b4decbb3637d1d7c45648 Description-en: Emacs keymap for Yi editor Emacs key bindings for the Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-emacs-doc Description-md5: 19422e8047de579eb913b9245497bceb Description-en: Emacs keymap for Yi editor; documentation Emacs key bindings for the Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-emacs-prof Description-md5: 68b9a2d5ad92d5e2255ac07e06ae19b6 Description-en: Emacs keymap for Yi editor; profiling libraries Emacs key bindings for the Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-vim-dev Description-md5: 7a03f889e6b69f1cbbe845c586fec0aa Description-en: Vim keymap for Yi editor VIm key bindings for the Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-vim-doc Description-md5: f6015cf8db99202dfb0a2faa1c9f3851 Description-en: Vim keymap for Yi editor; documentation VIm key bindings for the Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-keymap-vim-prof Description-md5: 6f2249e5c0218ca07279475f6e3f2f2d Description-en: Vim keymap for Yi editor; profiling libraries VIm key bindings for the Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-language-dev Description-md5: c9e4e704b476f7d35f5ace6e4adfa4e2 Description-en: collection of language-related Yi libraries. This is a Haskell library providing a collection of language-related Yi libraries: lexers, scanners… . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-language-doc Description-md5: f56164d9cf7960174d8fcaf1293bbeca Description-en: collection of language-related Yi libraries.; documentation This is a Haskell library providing a collection of language-related Yi libraries: lexers, scanners… . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-language-prof Description-md5: d9ee67d9c9b5b0fd8b3490be1fd2fcdf Description-en: collection of language-related Yi libraries.; profiling libraries This is a Haskell library providing a collection of language-related Yi libraries: lexers, scanners… . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-misc-modes-dev Description-md5: b3f33f12f1d84365818e0c17b5a2228f Description-en: Yi editor miscellaneous modes Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml, Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-misc-modes-doc Description-md5: 8e82f1b13fc1c216db1d46b9364d8342 Description-en: Yi editor miscellaneous modes; documentation Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml, Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-misc-modes-prof Description-md5: ec2d4dd4872bdd0155eec6a6bc36cc04 Description-en: Yi editor miscellaneous modes; profiling libraries Yi modes for C, ObjC, C++, Cabal, Clojure, Srmc, Git, SVN, OCaml, Perl, Ruby, Python, JSON, GNU Make, Ott, and Whitespace. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-haskell-dev Description-md5: 89061baf724705426bbaa0c3acae188e Description-en: Yi editor haskell mode This package contains the Haskell mode for Yi editor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-haskell-doc Description-md5: b672430cdec154c1b9aeb5641cad4876 Description-en: Yi editor haskell mode; documentation This package contains the Haskell mode for Yi editor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-haskell-prof Description-md5: 4319c7d304a8419120e8db04bec21ddc Description-en: Yi editor haskell mode; profiling libraries This package contains the Haskell mode for Yi editor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-javascript-dev Description-md5: 5e9030d232b53ad5705393c817773206 Description-en: Yi editor javascript mode JavaScript mode for Yi. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-javascript-doc Description-md5: aac66b07b8395128acdab6c03ef806db Description-en: Yi editor javascript mode; documentation JavaScript mode for Yi. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-yi-mode-javascript-prof Description-md5: 0a0bfbb63544bc19d93a25e5d5e5288c Description-en: Yi editor javascript mode; profiling libraries JavaScript mode for Yi. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghdl-4-1-0 Description-md5: 9040be609d2820ac4a850ea82c24c945 Description-en: VHDL compiler/simulator (shared library) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the shared library to access GHDL internals. Package: libghdl-dev Description-md5: fe1250146eaf015da09547dd2b4cc0cf Description-en: VHDL compiler/simulator (library development files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the files required to compile programs using libghdl. Package: libgit-raw-perl Description-md5: c4d0d8c7726ffc64a8fadcb0c412ccd5 Description-en: Perl bindings to the Git linkable library (libgit2) libgit2 is a pure C implementation of the Git core methods provided as a re-entrant linkable library designed to be fast and portable with a solid API. The Git::Raw module provides Perl bindings to the libgit2 API. Package: libgit2-fixtures Description-md5: a94423c77c15a4ed597658212c81d603 Description-en: low-level Git library - test suite examples libgit2 is a portable, pure C implementation of the Git distributed version control system core methods provided as a re-entrant link-able library with a solid API. . This package provides the test examples of the library, which can be useful for other pieces of software relying on libgit2, for testing purposes. Package: libgit2-glib-1.0-0 Description-md5: 11756b996ede7a9103d2300a91a1eebb Description-en: glib wrapper library around the libgit2 git access library libgit2-glib is a glib wrapper library around the libgit2 git access library. Package: libgit2-glib-1.0-dev Description-md5: ade42256d1619250e0c25af34bb681cc Description-en: glib wrapper library around the libgit2 git access library - development files libgit2-glib is a glib wrapper library around the libgit2 git access library. . This package contains the development files used in building applications that use the libgit2-glib Library. Package: libgit2-glib-1.0-doc Description-md5: e3f391a2bbdc5ead05e330c93d812b85 Description-en: glib wrapper library around the libgit2 git access library - documentation libgit2-glib is a glib wrapper library around the libgit2 git access library. . This package contains HTML documentation for libgit2-glib. Package: libgloo-dev Description-md5: 1eb5d67397fdb9a8162c5c082d1e6d78 Description-en: Collective communications library (development files) Gloo is a collective communications library. It comes with a number of collective algorithms useful for machine learning applications. These include a barrier, broadcast, and allreduce. . Transport of data between participating machines is abstracted so that IP can be used at all times, or InifiniBand (or RoCE) when available. In the latter case, if the InfiniBand transport is used, GPUDirect can be used to accelerate cross machine GPU-to-GPU memory transfers. . Where applicable, algorithms have an implementation that works with system memory buffers, and one that works with NVIDIA GPU memory buffers. In the latter case, it is not necessary to copy memory between host and device; this is taken care of by the algorithm implementations. . This package ships the development files. Package: libgloo0 Description-md5: 11fe5b4bb9197845522ecac87176ae15 Description-en: Collective communications library (shared object) Gloo is a collective communications library. It comes with a number of collective algorithms useful for machine learning applications. These include a barrier, broadcast, and allreduce. . Transport of data between participating machines is abstracted so that IP can be used at all times, or InifiniBand (or RoCE) when available. In the latter case, if the InfiniBand transport is used, GPUDirect can be used to accelerate cross machine GPU-to-GPU memory transfers. . Where applicable, algorithms have an implementation that works with system memory buffers, and one that works with NVIDIA GPU memory buffers. In the latter case, it is not necessary to copy memory between host and device; this is taken care of by the algorithm implementations. . This package ships the shared object for Gloo. Package: libgmm++-dev Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libgmm-dev Description-md5: 44e2672eb28de88fab8b23b2a9a85a71 Description-en: Generic C++ template library for sparse, dense and skyline matrices GMM is a framework of pre-defined methods for matrix computation. It is built as a set of generic algorithms for any interfaced vector type or matrix type. . It can be viewed as a glue library allowing cooperation between several vector and matrix types. However, basic sparse, dense and skyline matrix/vector types are built-in, hence it can be used as a standalone linear algebra library. Package: libgmsh-dev Description-md5: 14114da3fa0b899eded25d95ae9b1ea9 Description-en: Three-dimensional finite element mesh generator development files Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. . The package contains development files. Package: libgmsh-private-headers-dev Description-md5: 95201f665680ea87e2117cb8ebbb0d52 Description-en: Three-dimensional finite element mesh generator development files Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. . The package contains development private headers. Package: libgmsh4.13 Description-md5: 8c8acd23a2e0bcc020fb194e64086e7d Description-en: Three-dimensional finite element mesh generator shared library Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. . The package contains a shared library. Package: libgnuastro-dev Description-md5: 8c3bf70329b5ad2cdceee22a0ee1b057 Description-en: GNU Astronomy Utilities development files GNU Astronomy Utilities (Gnuastro) is a collection of libraries and programs for astronomical data analysis and manipulation. The programs can be run on the command-line for efficient and easy usage and the libraries can be used within C and C++ programs. . This package contains Gnuastro's headers and static libraries. Package: libgnuastro21 Description-md5: 75f9deadbbeaac57b6a056dc4e2b531d Description-en: GNU Astronomy Utilities shared libraries GNU Astronomy Utilities (Gnuastro) is a collection of libraries and programs for astronomical data analysis and manipulation. The programs can be run on the command-line for efficient and easy usage and the libraries can be used within C and C++ programs. . This package contains Gnuastro's shared librarires. Package: libgnudatalanguage0 Description-md5: 3f7e764b56df4e42f651f46a08f8b230 Description-en: Free IDL compatible incremental compiler shared library GNU Data Language (GDL) is a free IDL (Interactive Data Language) compatible incremental compiler. It has full syntax compatibility with IDL 7.1. IDL is a registered trademark of ITT Visual Information Solutions. . This package contains the shared library which is used by the different frontends (gdl binary, Python package). Package: libgnustep-gui-dev Description-md5: f04369f3d91e18ed42cc133e64e25059 Description-en: GNUstep GUI header files and static libraries The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the header files and static libraries required to build applications against the GNUstep GUI library. . Install this package if you wish to develop your own programs using the GNUstep GUI Library. Package: libgnustep-gui0.31 Description-md5: 26a0707edd4cd00c8f636eea36d88503 Description-en: GNUstep GUI Library The GNUstep GUI library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. The classes include graphical objects such as windows, menus, buttons, text fields, popup lists, browsers, scrollviews, splitviews, fonts, colors, images, events, pasteboards... You need the corresponding backend library package (gnustep-back) to use this package. Package: libgovirt-common Description-md5: 8c88d15bd56b1887856f8f98733cd7df Description-en: GObject-based library to access oVirt REST API (common files) libgovirt is a library that allows applications to use oVirt REST API to list VMs managed by an oVirt instance, and to get the connection parameters needed to make a SPICE/VNC connection to them. . This package contains the translation files. Package: libgovirt-dev Description-md5: 73b4ea3152f8edc1fe413c0ec92de227 Description-en: GObject-based library to access oVirt REST API (dev files) libgovirt is a library that allows applications to use oVirt REST API to list VMs managed by an oVirt instance, and to get the connection parameters needed to make a SPICE/VNC connection to them. . This package contains the development files. Package: libgovirt2 Description-md5: 6735780968aa021086f224e9220c8f10 Description-en: GObject-based library to access oVirt REST API libgovirt is a library that allows applications to use oVirt REST API to list VMs managed by an oVirt instance, and to get the connection parameters needed to make a SPICE/VNC connection to them. Package: libgravatar-data Description-md5: 4aed4422f6e398ba981e188a2fdef7f7 Description-en: KDE PIM gravatar library - data files This internal library is a brigde for using the gravatar service from KDE PIM applications. . This package contains shared data files. . This package is part of the KDE PIM module. Package: libgravatar-dev Description-md5: d38f18259c3e4f6e8c66a22e1e17dc05 Description-en: KDE PIM gravatar library - development files This internal library is a brigde for using the gravatar service from KDE PIM applications. . This package contains the development files needed to build against the library. . This package is part of the KDE PIM module. Package: libgretl1-dev Description-md5: 3c577cc7339db4dc97447db5388dd863 Description-en: GNU Regression, Econometric & Time-Series Library -- development package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the static library and header files. Package: libgretl1t64 Description-md5: 280aca5449828146a212cd203161d06d Description-en: GNU Regression, Econometric & Time-Series Library -- library package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the shared library. Package: libgromacs-dev Description-md5: aab3304779c4ebcd0dbb20ae1eb40866 Description-en: GROMACS molecular dynamics sim, development kit GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains header files and static libraries for development purposes, plus sample Makefiles. Development components for MPI-enabled GROMACS builds also require their respective packages. Package: libgromacs9 Description-md5: fe8a52ff85a0918122997c6ce3fd2d27 Description-en: GROMACS molecular dynamics sim, shared libraries GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains the shared library, libgromacs. Package: libgtg-dev Description-md5: c431ca1bec8438a7ed4539506a6c543a Description-en: Generic Trace Generator (GTG) - development files The GTG library provides a low level library to generate execution traces in Paje or OTF formats. . This package contains the development files Package: libgtg-tools Description-md5: 8de4690bfaf0f7e3efcd25db442e18c9 Description-en: Generic Trace Generator (GTG) - tools The GTG library provides a low level library to generate execution traces in Paje or OTF formats. . This package contains tools to manipulate gtg files. Package: libgtg0 Description-md5: ea60e1713b3175548217f54740436f92 Description-en: Generic Trace Generator (GTG) - shared library The GTG library provides a low level library to generate execution traces in Paje or OTF formats. . This package contains the shared library. Package: libgudhi-dev Description-md5: faf19d8da7a0aa41db0500f1fb33400f Description-en: Generic open source C++ library for topological data analysis The GUDHI library is a generic open source C++ library for Topological Data Analysis (TDA) and Higher Dimensional Geometry Understanding. The library offers state-of-the-art data structures and algorithms to construct simplicial complexes and compute persistent homology. . This package contains the header files. See the libgudhi-examples package for example programs using the library. Package: libgudhi-doc Description-md5: ebe9b1d5ef60496dcfc2a92b9524fcd6 Description-en: Documentation for the GUDHI library The GUDHI library is a generic open source C++ library for Topological Data Analysis (TDA) and Higher Dimensional Geometry Understanding. The library offers state-of-the-art data structures and algorithms to construct simplicial complexes and compute persistent homology. . This package contains documentation. Package: libgudhi-examples Description-md5: 9d29a2b4457b1ffa5f5c3f4737176c8f Description-en: Example programs for the GUDHI library The GUDHI library is a generic open source C++ library for Topological Data Analysis (TDA) and Higher Dimensional Geometry Understanding. The library offers state-of-the-art data structures and algorithms to construct simplicial complexes and compute persistent homology. . This package contains some source code examples. Package: libgyoto9-dev Description-md5: 149d1d42130d976ca3af1656592d0610 Description-en: development files for libgyoto Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. . This package provides the files necessary to compile Gyoto plugins and codes using the libgyoto library. Package: libgyoto9t64 Description-md5: 2fddfc4f8e4feaf0bdc81b8dd73e47ac Description-en: Gyoto framework main library an standard plug-in Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. It consists in a shared library (this package), utility programs (in the gyoto package), and a plug-in for the Python 3 and Yorick programming languages (in python3-gyoto and yorick-gyoto respectively). Gyoto can be extended with plug-ins (see libgyoto9-dev). . The standard plugin (stdplug) is included in this package. A plug-in for extending Gyoto in the Python 3 language is shipped in the python3-gyoto packages. . MPI parallelization requires the gyoto-bin and mpi-default-bin packages. Package: libhamlib++-dev Description-md5: b641923875fe087fcd2f8e62611bcb5e Description-en: Development C++ library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the development library with C++ bindings. If you wish to run applications developed using this library you'll need the 'libhamlib++4' package. Package: libhamlib++4t64 Description-md5: 09b01354ec5b873f75104929772949e9 Description-en: Run-time C++ library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the C++ run-time form of the library. If you wish to develop software using this library you need the 'libhamlib++-dev' package. Package: libhamlib-dev Description-md5: 4cbc590d7c98bc291c20c919f54e243e Description-en: Development library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the development library with both C bindings. If you wish to run applications developed using this library you'll need the 'libhamlib4' package. Package: libhamlib-doc Description-md5: 0c1cf87c1ec4e391547c2c66031c7038 Description-en: Documentation for the hamlib radio control library Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the developers documentation for the API. Package: libhamlib-perl Description-md5: 11dcb610bf4028fb1307b4aef62038da Description-en: Run-time perl library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the perl bindings of the library. Package: libhamlib-utils Description-md5: cc7b4ca12d48dc5b55a0ed3dc8096880 Description-en: Utilities to support the hamlib radio control library Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides command-line utilities to test the hamlib library and to control transceivers if you're short of anything more sophisticated: ampctl ampctld rigctl rigctlcom rigctld rigmem rigsmtr rigswr rotctl rotctld Package: libhamlib4t64 Description-md5: c67b2b377fead3c97a1110d16e3b74e3 Description-en: Run-time library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the C run-time form of the library. If you wish to develop software using this library you need the 'libhamlib-dev' package. Package: libhsa-runtime-dev Description-md5: a43df1a7a825b65ac5f03fb39ff420b1 Description-en: HSA Runtime API and runtime for ROCm - development files This library provides user-mode API interfaces necessary for host applications to launch compute kernels to available HSA ROCm kernel agents. . This package contains the development headers. Package: libhsa-runtime64-1 Description-md5: 3b6dc07958d486a817a5f2ac17827c4a Description-en: HSA Runtime API and runtime for ROCm This library provides user-mode API interfaces necessary for host applications to launch compute kernels to available HSA ROCm kernel agents. Package: libhsakmt-dev Description-md5: 7206aef6339b557669fd61b2c4ccb72f Description-en: Thunk library for AMD KFD (dev) This package includes the user-mode API interfaces used to interact with the ROCk driver. . This package contains the development files. Package: libhsakmt1 Description-md5: cad20c7a0db349265356ab5bbc60e4cf Description-en: Thunk library for AMD KFD (shlib) This package includes the user-mode API interfaces used to interact with the ROCk driver. . This package contains the shared object. Package: libhts-dev Description-md5: 08dc5334cfd009072c9aa396c09f63e3 Description-en: development files for the HTSlib HTSlib is an implementation of a unified C library for accessing common file formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call Format), used for high-throughput sequencing data, and is the core library used by samtools and bcftools. HTSlib only depends on zlib. It is known to be compatible with gcc, g++ and clang. . HTSlib implements a generalized BAM (binary SAM) index, with file extension ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the new index and then for the old if the new index is absent. . This package contains development files for the HTSlib: headers, static library, manual pages, etc. . For compatibility with sambamba, the internal routine cram_to_bam was exported, hereto adopting a patch found in guix. Package: libhts3t64 Description-md5: 6bcc3eaaf96941c9a7a6476850863056 Description-en: C library for high-throughput sequencing data formats HTSlib is an implementation of a unified C library for accessing common file formats, such as SAM (Sequence Alignment/Map), CRAM and VCF (Variant Call Format), used for high-throughput sequencing data, and is the core library used by samtools and bcftools. HTSlib only depends on zlib. It is known to be compatible with gcc, g++ and clang. . HTSlib implements a generalized BAM (binary SAM) index, with file extension ‘csi’ (coordinate-sorted index). The HTSlib file reader first looks for the new index and then for the old if the new index is absent. Package: libideviceactivation-1.0-2 Description-md5: 2330c8e916809e78c8adecc5ae46055c Description-en: Library to manage the activation process of Apple iOS devices (shared library) This project provides an interface to activate and deactivate iOS devices by talking to Apple's webservice alongside a command-line utility named ideviceactivation. . This package provides the shared library files for libideviceactivation. Package: libideviceactivation-1.0-dev Description-md5: 5772ec3160051657484dc7e48443b08f Description-en: Library to manage the activation process of Apple iOS devices (dev files) This project provides an interface to activate and deactivate iOS devices by talking to Apple's webservice alongside a command-line utility named ideviceactivation. . This package contains the development files for libideviceactivation. Package: libimage-magick-perl Description-md5: 7ce8b44a017b6b1792ace5babb8a40cb Description-en: Perl interface to the ImageMagick graphics routines PerlMagick is an objected-oriented Perl interface to ImageMagick. Use the module to read, manipulate, or write an image or image sequence from within a Perl script. This makes it very suitable for Web CGI scripts. . This is the compatibility (wrapper) perlmagick package that use the default channel depth. . This package provides the perl Image::Magick class. Package: libimage-magick-q16-perl Description-md5: b2b7bcf0fd3b8cca1a12186f85981002 Description-en: Perl interface to the ImageMagick graphics routines -- Q16 version PerlMagick is an objected-oriented Perl interface to ImageMagick. Use the module to read, manipulate, or write an image or image sequence from within a Perl script. This makes it very suitable for Web CGI scripts. . This version of libimage-magick is compiled for a channel depth of 16 bits (Q16). . This package provides the perl Image::Magick::Q16 class. Package: libimage-magick-q16hdri-perl Description-md5: 8507376c7886a5145af7be82f371b30e Description-en: Perl interface to the ImageMagick graphics routines -- Q16HDRI version PerlMagick is an objected-oriented Perl interface to ImageMagick. Use the module to read, manipulate, or write an image or image sequence from within a Perl script. This makes it very suitable for Web CGI scripts. . This version of libimage-magick is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). . This package provides the perl Image::Magick::Q16HDRI class. Package: libindi-data Description-md5: 2147f72ad3210176b1dd800e188015bb Description-en: Instrument-Neutral Device Interface library -- shared data INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the data files for the INDI library. Package: libindi-dev Description-md5: 87bf82675f43ab4b1d583033650b5e46 Description-en: Instrument-Neutral Device Interface library -- development files INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains development headers and libraries for the INDI library. Package: libindi-plugins Description-md5: 42c6d49d1fe305e17b481ff3d63f6c67 Description-en: Instrument-Neutral Device Interface library -- plugins INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains binary plugins. Package: libindialignmentdriver2 Description-md5: 91c1cefc7f4eaf2e5977cc1fa7a80f30 Description-en: Instrument-Neutral Device Interface library -- alignment driver lib INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the alignment driver shared library. Package: libindiclient2 Description-md5: 2932b4a33d0beb4a8fb2666fd3559dea Description-en: Instrument-Neutral Device Interface library -- client library INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the client shared library. Package: libindidriver2 Description-md5: 60df8aa9fbc23dcb5c3b5fdfddfeb824 Description-en: Instrument-Neutral Device Interface library -- driver library INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the driver shared library. Package: libindilx200-2 Description-md5: 3ef0b62f32a167f4e2e30b306dc2bd5f Description-en: Instrument-Neutral Device Interface library -- lx200 driver lib INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the lx200 driver shared library. Package: libjavascriptcoregtk-4.0-bin Description-md5: 063e995389abd53361e2fa870999c7ca Description-en: WebKitGTK JavaScript command-line interpreter (transitional dummy package) This is a transitional dummy package, it can be safely removed. Package: libjavascriptcoregtk-bin Description-md5: ac7afc0b1a4392958885f97c8f08146c Description-en: JavaScript engine library from WebKitGTK - command-line interpreter JavaScriptCore is the JavaScript engine used in WebKit. It consists of the following building blocks: lexer, parser, start-up interpreter (LLInt), baseline JIT, a low-latency optimizing JIT (DFG), and a high-throughput optimizing JIT (FTL). . This package provides jsc, a command-line JavaScript interpreter. Package: libjmagick7-java Description-md5: f06f407e59ce6bfad83979b0b24630ee Description-en: Java interface to ImageMagick JMagick is an open source Java interface of ImageMagick. It is implemented in the form of Java Native Interface (JNI) into the ImageMagick API. . JMagick does not attempt to make the ImageMagick API object-oriented. It is merely a thin interface layer into the ImageMagick API. . JMagick currently only implements a subset of ImageMagick APIs. Should you require unimplemented features in JMagick, please join the mailing list and make a request. Package: libjmagick7-jni Description-md5: 4baa05ce6e0e62102660cf194f3badc1 Description-en: Java interface to ImageMagick (native library) JMagick is an open source Java interface of ImageMagick. It is implemented in the form of Java Native Interface (JNI) into the ImageMagick API. . JMagick does not attempt to make the ImageMagick API object-oriented. It is merely a thin interface layer into the ImageMagick API. . JMagick currently only implements a subset of ImageMagick APIs. Should you require unimplemented features in JMagick, please join the mailing list and make a request. . This package contains the native interface to ImageMagick. It is loaded at runtime into the Java Virtual Machine (JVM). Package: libjs-janus-gateway Description-md5: d6bb3716c1c312f6fa298613ea3aab1b Description-en: general purpose WebRTC server/gateway - JavaScript library janus is a general purpose WebRTC server/gateway with a minimal footprint. . This package contains a JavaScript library to interact with Janus from a web browser. Package: libjuce-doc Description-md5: 5d99d0cc4969b5646d2f8e1b7bc9f465 Description-en: Jules' Utility Class Extensions (documentation) JUCE (Jules' Utility Class Extensions) is an all-encompassing C++ framework for developing cross-platform software. . It contains pretty much everything you're likely to need to create most applications, and is particularly well-suited for building highly-customised GUIs, and for handling graphics and sound. . This package contains html documentation for the JUCE API. Package: libkaccounts6-2 Description-md5: c743dde45ea6b3d079c3f4df6eb6ee12 Description-en: integration library for Accounts-SSO and SignOn-SSO KAccounts Integration provides a way to share accounts data such as login tokens and general user information (like usernames and such) between various applications. . The KAccounts library is a KDE Frameworks style abstraction layer on top of the Accounts-SSO and SignOnD libraries, which uses a combination of models and jobs to expose the functionality of those. Package: libkaccounts6-dev Description-md5: 39c4be261d645fab2a42d65e5b947ff2 Description-en: integration library and QML module for Accounts-SSO and SignOn-SSO - development files KAccounts Integration provides a way to share accounts data such as login tokens and general user information (like usernames and such) between various applications. . The KAccounts library is a KDE Frameworks style abstraction layer on top of the Accounts-SSO and SignOnD libraries, which uses a combination of models and jobs to expose the functionality of those. . The kaccounts QML plugin exposes that functionality directly to Qt Quick based applications. . This package contains development files. Package: libkaddressbook-dev Description-md5: 5b52a7b508d1cdd53e137aacb38903c5 Description-en: Addressbook import export library - development files KAddressBook enables you to manage your contacts efficiently and comfortably. It can load and save your contacts to many different locations, including the local file system, LDAP servers, and SQL databases. . This package contains the development files. Package: libkcalendarutils-data Description-md5: 0d823e762e0aa76c46be6446cb9d3781 Description-en: kcalutils - data files This library provides a set of utility functions that help applications access and use calendar data via the KCalCore library. . This package contains the data files. Package: libkcalendarutils-dev Description-md5: bdd38e28a782c8f1100791a9110f97b8 Description-en: kcalutils - development files This library provides a set of utility functions that help applications access and use calendar data via the KCalCore library. . This package contains the development files. Package: libkf6kio-dev Description-md5: c0ff6b419f060f50b412bad2833dbd53 Description-en: resource and network access abstraction (development files) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This package contains the development files for kio. Package: libkf6kio-doc Description-md5: 9e261e8f33096a98777ebdeba7586a27 Description-en: resource and network access abstraction (documentation) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This package contains the QCH documentation files. Package: libkf6kiocore6 Description-md5: 0b5c7433e5c2ea2937fc33f605a13afd Description-en: resource and network access abstraction (KIO core library) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This library contains the core classes. Package: libkf6kiofilewidgets6 Description-md5: cb19470acd45ad1a9b71eb10daa72e49 Description-en: resource and network access abstraction (KIO file widgets library) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This library contains some file dialog widgets. Package: libkf6kiogui6 Description-md5: 37f2c14c77b851264775ced201d170d7 Description-en: resource and network access abstraction (KIO gui library) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This library contains gui related jobs. Package: libkf6kiowidgets6 Description-md5: b90444db59103185b0624869451f2f70 Description-en: resource and network access abstraction (KIO widgets library) KDE Input/Output framework provides a single API for operating on files, whether local or on a remote server. Additionally, KIO Slaves provide support for individual protocols. Some particularly useful ones are http, ftp, sftp, smb, nfs, ssh (fish), man, tar and zip. . This library contains some UI widgets. Package: libkf6textaddonswidgets1 Description-md5: a0edcb1e7ffbec7bb383f4c5805056a0 Description-en: KDE text handling addons - widgets library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text addons widgets library Package: libkf6textautocorrectioncore1 Description-md5: 7f5520ab781f8a1e1a4c4408b01c1dcb Description-en: KDE text handling addons - correction core library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text autocorrection core library. Package: libkf6textautocorrectionwidgets1 Description-md5: 6173529a45da5bc4bbac92fafb176e88 Description-en: KDE text handling addons - correction widget library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text autocorrection widget library. Package: libkf6textcustomeditor1 Description-md5: 29c86dad1d2cd40c73339fbeb809e37f Description-en: KDE text handling addons - custom editor library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text custom editor library. Package: libkf6textedittexttospeech1 Description-md5: e8648a3cb823a7e2085d949cb0d3571e Description-en: KDE text handling addons - text edit text-to-speech library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text-to-speech library. Package: libkf6textemoticonscore1 Description-md5: 9b012611a3cf027fb8300567ef4b535f Description-en: KDE text handling addons - text emoticons library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text emoticons library. Package: libkf6textemoticonswidgets1 Description-md5: eb9c8bb42502bd2a061c50b75898e758 Description-en: KDE text handling addons - text emoticons widgets library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the emoticons widget library. Package: libkf6textgrammarcheck1 Description-md5: 55c8a0fab430cf91f455da5a980ff2cc Description-en: KDE text handling addons - text grammar check library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text grammar check library. Package: libkf6texttranslator1 Description-md5: 65c042159295a71c9d6474fdebe41f7b Description-en: KDE text handling addons - text translator library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text translator library. Package: libkf6textutils1 Description-md5: 2883b053a15ea0321e1036d3806cb708 Description-en: KDE text handling addons - text utils library KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the text utils library. Package: libkidentitymanagement-data Description-md5: b5ea3d2a29d9be37fa7ae78cb4ab957a Description-en: library for managing user identities - data files This library provides an API for managing user identities. . This package contains the development files. Package: libkidentitymanagement-dev Description-md5: 6f4327cbdd7bd68e78005178753048cf Description-en: library for managing user identities - development files This library provides an API for managing user identities. . This package contains the development files. Package: libkimap-data Description-md5: 253f3f84b89ff6de6f104a233212c70d Description-en: library for handling IMAP data - arch independent files This library provides an API for handling data received from IMAP services. IMAP (Internet Message Access Protocol) is one of the two most prevalent Internet standard protocols for e-mail retrieval. . This package contains the arch independent data for KIMAP. Package: libkimap-dev Description-md5: 897f577924da2ddfcc1097c3f3f7916d Description-en: library for handling IMAP data - development files This library provides an API for handling data received from IMAP services. IMAP (Internet Message Access Protocol) is one of the two most prevalent Internet standard protocols for e-mail retrieval. . This package contains the development files. Package: libkitchensink-clojure Description-md5: 56dd0a7f1c5524076d3c3caaf807d804 Description-en: utility library for Puppet Labs clojure projects kitchensink is a library of utility functions that are common to several Puppet Labs Clojure projects. Package: libkitinerary-bin Description-md5: 9698393e97e8c3a314855aee4cd674c1 Description-en: library for Travel Reservation information - binaries This library provides an API for Data Model and Extraction System for Travel Reservation information. . This package contains the binaries like - kitinerary-extractor Package: libkitinerary-data Description-md5: bed7db327055fd249cc28e8b91fd73de Description-en: library for Travel Reservation information - arch independent data This library provides an API for Data Model and Extraction System for Travel Reservation information. . This package contains the arch independent data for KPimItinerary. Package: libkitinerary-dev Description-md5: 0ab6887b9204b218de8c4e0d7a22652a Description-en: library for Travel Reservation information - development files This library provides an API for Data Model and Extraction System for Travel Reservation information. . This package contains the development files. Package: libkldap-data Description-md5: 8bccea239dd9315d59503f37f88e9176 Description-en: Arch independent data forlibrary for accessing LDAP This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package contains the arch independent data for KLdap. Package: libkldap-dev Description-md5: 7389f56e2b83cda2cf6f3ae47b1e5ac0 Description-en: library for accessing LDAP - development files This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package contains the development files. Package: libkldap-doc Description-md5: b17033b799fd447cc13f81d93e1dcf64 Description-en: library for accessing LDAP (documentation) This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package contains the documentation files. Package: libkmailtransport-data Description-md5: 5369e5868eb7423cb03646bbec09e9db Description-en: mail transport service library - data files Mailtransport is a library that provides the following functionality: . * Shared mail transport settings. * GUI elements to configure mail transport settings. * Job classes for mail sending. . This package also contains a KDE control module which can be embedded into your application to provide mail transport configuration. . This package contains data files. Package: libkmailtransport-dev Description-md5: bdb3121eb260a0cd727b888db9015957 Description-en: mail transport service library - development files Mailtransport is a library that provides the following functionality: . * Shared mail transport settings. * GUI elements to configure mail transport settings. * Job classes for mail sending. . This package contains the development files. Package: libkmbox-dev Description-md5: 970f113ba32e693d20fad06b7422aaaf Description-en: library for handling mbox mailboxes - development files This is a library for handling mailboxes in mbox format, using a Qt/KMime C++ API. . This package contains the development files. Package: libkmime-data Description-md5: 8a1f03c7dc80e1bcf83d40055b8971b7 Description-en: Arch independent data for library for handling MIME data This library provides an API for handling MIME data. MIME (Multipurpose Internet Mail Extensions) is an Internet Standard that extends the format of e-mail to support text in character sets other than US-ASCII, non-text attachments, multi-part message bodies, and header information in non-ASCII character sets. . This package contains the arch independent data for KMime. Package: libkmime-dev Description-md5: 3e8d7b6fb7ba4938dc9cf77296922163 Description-en: library for handling MIME data - development files This library provides an API for handling MIME data. MIME (Multipurpose Internet Mail Extensions) is an Internet Standard that extends the format of e-mail to support text in character sets other than US-ASCII, non-text attachments, multi-part message bodies, and header information in non-ASCII character sets. . This package contains the development files. Package: libkosm1 Description-md5: 44a07da6d303ccd9418f32466955f1f6 Description-en: library for OSM data with Qt Use OSM data with Qt data types to use it easily in your Qt applications. . It gives you picking support for implementing interaction with map elements. It supports externally provided overlay elements. It allows pre-loading and caching API for offline support in applications. Package: libkosmindoormap1 Description-md5: 272c1a9df6c999f33e1110cd5dba56d8 Description-en: OSM multi-floor indoor map renderer Open Street Map indoor map renderer of for example a (large) train station. . It has floor-level separation of OSM data and inter-floor navigation using stairs, escalators or elevators. Information for details like a selected amenity. Identifying railway platforms or airport gates in the map data. It is integrated with KPublicTransport to show line icons for railway platforms, show availability of rental bikes and get realtime equipment (elevators/escalators/etc) status information. Package: libkosmindoorrouting1 Description-md5: 78ab3c614666d857bd28705cfd900173 Description-en: OSM multi-floor indoor map navigation Open Street Map indoor map navigation of for example a (large) train station. . It has floor-level separation of OSM data and inter-floor navigation using stairs, escalators or elevators. Information for details like a selected amenity. Identifying railway platforms or airport gates in the map data. It is integrated with KPublicTransport to show line icons for railway platforms, show availability of rental bikes and get realtime equipment (elevators/escalators/etc) status information. Package: libkpim6addressbookimportexport6 Description-md5: 5453564a46abcb2f568326ea02ca2296 Description-en: Addressbook import export library KAddressBook enables you to manage your contacts efficiently and comfortably. It can load and save your contacts to many different locations, including the local file system, LDAP servers, and SQL databases. . This package contains the libary used for the import and and export of contacts. Package: libkpim6akonadiagentbase6 Description-md5: 6cb0d91384ee342f64ac4e4891caf006 Description-en: Akonadi agent base library This library contains classes to implement agents for the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadicalendar6 Description-md5: 3c53c6b55c5a80a95ca7aec512b79a31 Description-en: library providing calendar helpers for Akonadi items This library offers helper functions to integrate the use of Akonadi calendar items in applications. Package: libkpim6akonadicontactcore6 Description-md5: 66d2f8c5cb09d9ef0130a65e91528185 Description-en: Akonadi contacts access library - core library This library provides contacts manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadicontactwidgets6 Description-md5: d45c2df22d297664ef756efa5c269efd Description-en: Akonadi contact access library - widgets library This library provides contacts manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadicore6 Description-md5: 7eaca65bf97daa5f493d62c080313159 Description-en: Akonadi core library This library contains Akonadi PIM data server core classes. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadimime6 Description-md5: 4e624534e40cf9790cf55069c0d61f2f Description-en: Akonadi MIME handling library This library provides MIME handling helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadinotes6 Description-md5: 8c6e61d76502884be9087f95fed497e3 Description-en: Akonadi notes access library This library provides notes manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadiprivate6 Description-md5: fc68b591ff20eff8fb7e4c5e3375b4dd Description-en: libraries for the Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains libraries used by the Akonadi PIM storage service. Package: libkpim6akonadisearchcore6 Description-md5: e95725044a9422408a5a8839c9602db3 Description-en: Akonadi search core library Internal library used to search in the Akonadi PIM data server. This package contains the core library. Package: libkpim6akonadisearchdebug6 Description-md5: 7ccbe1e860f7db2cab48f433c7f45dff Description-en: Akonadi search debug library Internal library used to search in the Akonadi PIM data server. This package contains the debug library. Package: libkpim6akonadisearchpim6 Description-md5: bf68bd20069fda6b0b73124e28f8098f Description-en: Akonadi search library Library used to search in the Akonadi PIM data server. Package: libkpim6akonadisearchxapian6 Description-md5: 8ad50970db472e018bcff8bbf873fd17 Description-en: Akonadi search xapian library Internal library used to search in the Akonadi PIM data server. This package contains the xapian library. Package: libkpim6akonadiwidgets6 Description-md5: 14a700b6cade167a55cbed0288970004 Description-en: Akonadi widgets library This library contains standard widgets for the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6akonadixml6 Description-md5: fedb7044a6b3771e661d0d2237c65b21 Description-en: Akonadi XML helper library This library provides XML helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6calendarsupport6 Description-md5: faa6b9ae1834c946ddc7e93cc77973d3 Description-en: KDE PIM Calendar support - library This library provides calendar support for KDE PIM. . This package provides the shared libraries. Package: libkpim6calendarutils6 Description-md5: 345839acf405933e33db638ac032b51b Description-en: library with utility functions for the handling of calendar data This library provides a set of utility functions that help applications access and use calendar data via the KCalCore library. Package: libkpim6gravatar6 Description-md5: beb8ec156e8b90ca7cfdb6ac03039963 Description-en: KDE PIM gravatar library This internal library is a brigde for using the gravatar service from KDE PIM applications. . This package is part of the KDE PIM module. Package: libkpim6identitymanagementcore6 Description-md5: 75e4ad02dd356db0c8557f21a76468b9 Description-en: library for managing user identities This library provides an API for managing user identities. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6identitymanagementquick6 Description-md5: 75e4ad02dd356db0c8557f21a76468b9 Description-en: library for managing user identities This library provides an API for managing user identities. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6identitymanagementwidgets6 Description-md5: 4ee8bad1d0b67255ab0602bb0d9f3c66 Description-en: library for managing user identities This library provides an API for managing user identities with widgets. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6imap6 Description-md5: 15e0d328c72eb16d1c54232216220786 Description-en: library for handling IMAP data This library provides an API for handling data received from IMAP services. IMAP (Internet Message Access Protocol) is one of the two most prevalent Internet standard protocols for e-mail retrieval. Package: libkpim6importwizard6 Description-md5: 1ac1205de0e3cf6e3198da0ba75dbde3 Description-en: PIM data import wizard - library akonadi-import-wizard is an assistant to import PIM data from other applications into Akonadi for use in KDE PIM applications . This package contains the import wizard shared libraries. Package: libkpim6itinerary6 Description-md5: a467a95d3b36d239a58d3500e77e13b2 Description-en: library for Travel Reservation information This library provides an API for Data Model and Extraction System for Travel Reservation information. Package: libkpim6kmanagesieve6 Description-md5: 41f348d1ad406a2453e30b07d2621e97 Description-en: Sieve remote script management support for kdepim, library This library implements a subset of the protocol for remotely managing Sieve scripts (RFC 5804). Among other basic operations, it provides an easy to use API for listing, retrieving, deleting, activating and uploading of scripts to the remote Sieve script server. . This package is part of the KDE PIM module. Package: libkpim6ksieve6 Description-md5: 9fc856526951c294fbc203c6acb2f202 Description-en: Sieve mail filtering language support for kdepim, library This library implements a parser and lexer for Sieve, the mail filtering language (RFC 3028). . This package is part of the KDE PIM module. Package: libkpim6ksievecore6 Description-md5: 3c774870f87c3cd81c32ed0f54117ee7 Description-en: Sieve mail filtering language support for kdepim, core library This library implements the core services for libksieve. . This package is part of the KDE PIM module. Package: libkpim6ksieveui6 Description-md5: 530a6c5f34077391676f0b0bed9a39b6 Description-en: Sieve mail filtering language support for kdepim, GUI library This library implements GUI dialogs and elements which enable users to easily create, edit and manage their mail filters on the mail servers which support the Sieve language (RFC 3028) and the protocol for remotely managing Sieve scripts (RFC 5804). . This package is part of the KDE PIM module. Package: libkpim6ldapcore6 Description-md5: 2bc1a9b485bbacf6b6fdefdd2097e5ff Description-en: library for accessing LDAP This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6ldapwidgets6 Description-md5: 2bc1a9b485bbacf6b6fdefdd2097e5ff Description-en: library for accessing LDAP This is a library for accessing LDAP with a convenient Qt style C++ API. LDAP (Lightweight Directory Access Protocol) is an application protocol for querying and modifying directory services running over TCP/IP. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6mailcommon6 Description-md5: a643053763057912f5ec3bae95cb63ad Description-en: KDE PIM email utility library The mail common library provides utility functions for dealing with email. . This package is part of KDE PIM module. Package: libkpim6mailimporter6 Description-md5: d4cb6f1bf57b1311e8bca4cafd075a38 Description-en: KDE PIM Mail importer library This package contains a library for importing mails into KDE applications. . This package is part of the KDE PIM module. Package: libkpim6mailimporterakonadi6 Description-md5: 96bc65d27c80727a70fa765bec02d454 Description-en: KDE PIM Mail importer Akonadi library This package contains a library for importing mails into Akonadi applications. . This package is part of the KDE PIM module. Package: libkpim6mailtransport6 Description-md5: 2cb7cc2b9b2329a3d1f1b5001ff8968c Description-en: mail transport service library Mailtransport is a library that provides the following functionality: . * Shared mail transport settings. * GUI elements to configure mail transport settings. * Job classes for mail sending. Package: libkpim6mbox6 Description-md5: febe5c6e80fedbf1f282062563ad4d3e Description-en: library for handling mbox mailboxes This is a library for handling mailboxes in mbox format, using a Qt/KMime C++ API. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6messagecomposer6 Description-md5: 9b1e91dffa5a411917a57fa755736f29 Description-en: KDE PIM messaging library, composer library This package contains the message composer library. It provides basic e-mail message composing facilities. . This package is part of KDE PIM module. Package: libkpim6messagecore6 Description-md5: 035d36e99d6729804a6073c2098ccd4e Description-en: KDE PIM messaging library, core library This package contains the message core library. It provides basic e-mail message handling facilities. . This package is part the KDE PIM module. Package: libkpim6messagelist6 Description-md5: 49a0d7f2169e8c95462d34be5e96bbb2 Description-en: KDE PIM messaging library, message list library This package contains the message list library. It provides a widget for the e-mail message lists with extensive filtering, grouping and useful features. . This package is part of the KDE PIM module. Package: libkpim6messageviewer6 Description-md5: 319f8d30f993e4f4fe2a059521823cf8 Description-en: KDE PIM messaging library, message viewer library This package contains the message viewer library which implements feature-rich and highly configurable widget for viewing e-mail messages. . This package is part of the KDE PIM module. Package: libkpim6mime6 Description-md5: ea8d6d0463a1443aeab1a64fda908b1b Description-en: library for handling MIME data This library provides an API for handling MIME data. MIME (Multipurpose Internet Mail Extensions) is an Internet Standard that extends the format of e-mail to support text in character sets other than US-ASCII, non-text attachments, multi-part message bodies, and header information in non-ASCII character sets. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6mimetreeparser6 Description-md5: 635d2e79e5fa3bb98401cbcce2481c9a Description-en: KDE PIM Mime Tree parser library This library implements a parser for the mime tree used by KMail. . This package is part of the KDE PIM module. This package contains the development files for the mime tree parser library. Package: libkpim6mimetreeparsercore6 Description-md5: e6388499b09787430b7d9fc997465036 Description-en: core library for a MIME tree parser This is a parser for a MIME tree and is based on KMime. The goal is given a MIME tree to extract a list of parts (e.g. text, html) and a list of attachments, check the validity of the signatures and decrypt any encrypted part. . This package contains the core library. Package: libkpim6mimetreeparserwidgets6 Description-md5: e12062381f23234fb98906ff8e877567 Description-en: widgets library for a MIME tree parser This is a parser for a MIME tree and is based on KMime. The goal is given a MIME tree to extract a list of parts (e.g. text, html) and a list of attachments, check the validity of the signatures and decrypt any encrypted part. . This package contains the widgets library. Package: libkpim6pimcommon6 Description-md5: 7d4c4877ef9b8e8b8623b342b80a132a Description-en: Common library for KDE PIM This library provides the common parts used across different KDE PIM components. . This package provides the shared libraries. Package: libkpim6pimcommonakonadi6 Description-md5: 7b44fed8be88d500040e4ca5cb638cf3 Description-en: Common library for KDE PIM Akonadi This library provides the common parts used across different KDE PIM Akonadi components. . This package provides the shared libraries. Package: libkpim6templateparser6 Description-md5: 724d02891f85b2429bcabdc216fcfc5e Description-en: KMail template parser library This library implements a parser for the mail templates which KMail uses to enable customization of initial structure for the new, reply or forwarded messages. . This package is part of the KDE PIM module. Package: libkpim6textedit6 Description-md5: 109259b7958e194638f3e7341d582dde Description-en: library that provides a textedit with PIM-specific features KPIMTextedit provides a textedit with PIM-specific features. It also provides so-called rich text builders which can convert the formatted text in the text edit to all kinds of markup, like HTML or BBCODE. . This package is part of the KDE Development Platform PIM libraries module. Package: libkpim6tnef6 Description-md5: f3f7a69b6fda83c2826678706694ca3e Description-en: library for handling TNEF data Ktnef is a library for handling data in the TNEF format (Transport Neutral Encapsulation Format, a proprietary format of e-mail attachment used by Microsoft Outlook and Microsoft Exchange Server). The API permits access to the actual attachments, the message properties (TNEF/MAPI), and allows one to view/extract message formatted text in Rich Text Format. Package: libkpim6webengineviewer6 Description-md5: 6820c418b472aa21642d8eef0bb9105c Description-en: KDE's Qt WebEngine Viewer This library implements view on Qt WebEngine used by KDE PIM. . This package is part of the KDE PIM module. Package: libkpimimportwizard-dev Description-md5: 4f2c82eec9f32dbb6a702784893b1ee1 Description-en: PIM data import wizard - development files akonadi-import-wizard is an assistant to import PIM data from other applications into Akonadi for use in KDE PIM applications . This package contains the import wizard developmentt files. Package: libkpimtextedit-data Description-md5: bca0a8d0fa518f77f38f05287d5a34ef Description-en: libkpimtextedit - arch independent files KPIMTextedit provides a textedit with PIM-specific features. It also provides so-called rich text builders which can convert the formatted text in the text edit to all kinds of markup, like HTML or BBCODE. . This package contains the arch independent files. Package: libkpimtextedit-dev Description-md5: 36db1c740ff02746942a7e33f4e72e9f Description-en: libkpimtextedit - development files KPIMTextedit provides a textedit with PIM-specific features. It also provides so-called rich text builders which can convert the formatted text in the text edit to all kinds of markup, like HTML or BBCODE. . This package contains the development files. Package: libkpublictransport-dev Description-md5: c973b0fcd9b0077e0e361bc186fe2c8e Description-en: Library to assist with accessing public transport (development files) A library providing a public transport API. . This package contains the development files and headers. Package: libkpublictransport1 Description-md5: 21d24fc3a4e1c99264e872eea4d74af4 Description-en: Library to assist with accessing public transport A library providing a public transport API. . This package contains the main data. Package: libkpublictransportonboard1 Description-md5: e0019d562f8b3239029c9b30bd2bb203 Description-en: Library to assist with accessing public transport onboard system A library providing a public transport API. . This package contains the onboard library. Package: libksieve-data Description-md5: 762e34857d8184eb948e9a561e902d5c Description-en: Sieve mail filtering language support for kdepim, data files This library implements a parser and lexer for Sieve, the mail filtering language (RFC 3028). . This package is part of the KDE PIM module. . This package provides the data files common to the kf5ksieve libraries. Package: libksieve-dev Description-md5: a5cff9b4660fb9ebd2513eb4a1d2d450 Description-en: Sieve mail filtering language support for kdepim, development files This library implements a parser and lexer for Sieve, the mail filtering language (RFC 3028). . This package contains development files for ksieve. Package: libktextaddons-data Description-md5: cadfc251429fbfb72865af82343758dd Description-en: KDE text handling addons - data files KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the data files needed by KTextAddons. Package: libktextaddons-dev Description-md5: 3c939a6b7a9b9f7473601f47db43134c Description-en: KDE text handling addons - development files KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package provides the development files needed to build software using KTextAddons. Package: libktextaddons-doc Description-md5: b26c37196b9b123e7fc6b5d4455fb98c Description-en: KDE text handling addons - documentation KTextAddons is a collection of text addons libraries developed by the KDE community. . This collection of libraries provide functionalities such as autocorrection, text to speak, grammar checking, text translator or emoticon support. . This package contains the qch documentation files. Package: libktnef-data Description-md5: dd4945f252c56bac441164f40454de59 Description-en: library for handling TNEF data - data files Ktnef is a library for handling data in the TNEF format (Transport Neutral Encapsulation Format, a proprietary format of e-mail attachment used by Microsoft Outlook and Microsoft Exchange Server). The API permits access to the actual attachments, the message properties (TNEF/MAPI), and allows one to view/extract message formatted text in Rich Text Format. . This package contains the data files. Package: libktnef-dev Description-md5: a95e1bed2254e08b66ef598466ac8c87 Description-en: library for handling TNEF data - development files Ktnef is a library for handling data in the TNEF format (Transport Neutral Encapsulation Format, a proprietary format of e-mail attachment used by Microsoft Outlook and Microsoft Exchange Server). The API permits access to the actual attachments, the message properties (TNEF/MAPI), and allows one to view/extract message formatted text in Rich Text Format. . This package contains the development files. Package: liblapack-test Description-md5: e2a4bb667b79f7e1206927ea3bf7d8cb Description-en: Library of linear algebra routines 3 - testing programs LAPACK version 3.X is a comprehensive FORTRAN library that does linear algebra operations including matrix inversions, least squared solutions to linear sets of equations, eigenvector analysis, singular value decomposition, etc. It is a very comprehensive and reputable package that has found extensive use in the scientific community. . These testing programs have been run against the shared library in the lapack package. The results have been collected in the files test_results. These programs are provided separately here so that the user can test and compare alternate versions of the lapack libraries, such as those provided by the ATLAS packages, and the lapack package. Package: liblapack64-test Description-md5: 3064bd491d0f1163860a033188f6cb04 Description-en: Library of linear algebra routines 3 - testing programs (64bit-index) LAPACK version 3.X is a comprehensive FORTRAN library that does linear algebra operations including matrix inversions, least squared solutions to linear sets of equations, eigenvector analysis, singular value decomposition, etc. It is a very comprehensive and reputable package that has found extensive use in the scientific community. . These testing programs have been run against the shared library in the lapack package. The results have been collected in the files test_results. These programs are provided separately here so that the user can test and compare alternate versions of the lapack libraries, such as those provided by the ATLAS packages, and the lapack package. . Compiled with Fortran option "-fdefault-integer-8". Package: liblinphone++11t64 Description-md5: 98ebc1ec9987a78a224e1481495764a3 Description-en: Linphone's shared library part (supporting the SIP protocol) Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the C++ shared runtime libraries. Package: liblinphone-dev Description-md5: 54e56d8d20231f9cba88fa0cb632f312 Description-en: Linphone web phone's library - development files Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the files needed to use the linphone's library in your programs. Package: liblinphone11t64 Description-md5: 695832ba12a16225ea2d4aef9024cbaf Description-en: Linphone's shared library part (supporting the SIP protocol) Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the shared runtime libraries. Package: liblld-19 Description-md5: edabea654ba7a6bd671515adc0fffdd2 Description-en: LLVM-based linker, library LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This package contains the LLD runtime library. Package: liblld-19-dev Description-md5: d5f91d89aa80d28cd4d98b74563f075b Description-en: LLVM-based linker, header files LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This package provides the header files to build extension over lld. Package: liblld-20 Description-md5: edabea654ba7a6bd671515adc0fffdd2 Description-en: LLVM-based linker, library LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This package contains the LLD runtime library. Package: liblld-20-dev Description-md5: d5f91d89aa80d28cd4d98b74563f075b Description-en: LLVM-based linker, header files LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This package provides the header files to build extension over lld. Package: liblld-dev Description-md5: 3d09f140d7fd8b259afe4212766942e3 Description-en: LLVM-based linker, library LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This is a dependency package providing the default liblld-dev package. Package: liblldb-19 Description-md5: 88542bb8337de28344a74df10ce94f4e Description-en: Next generation, high-performance debugger, library LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This package contains the LLDB runtime library. Package: liblldb-19-dev Description-md5: 8f3dc7f570ba958d1f7496e3e5195498 Description-en: Next generation, high-performance debugger, header files LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This package provides the header files to build extension over lldb. Package: liblldb-20 Description-md5: 88542bb8337de28344a74df10ce94f4e Description-en: Next generation, high-performance debugger, library LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This package contains the LLDB runtime library. Package: liblldb-20-dev Description-md5: 8f3dc7f570ba958d1f7496e3e5195498 Description-en: Next generation, high-performance debugger, header files LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This package provides the header files to build extension over lldb. Package: liblldb-dev Description-md5: 8f3dc7f570ba958d1f7496e3e5195498 Description-en: Next generation, high-performance debugger, header files LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This package provides the header files to build extension over lldb. Package: libllvm-19-ocaml-dev Description-md5: 7727e410aa3baa784cb47a4afb95f4e8 Description-en: Modular compiler and toolchain technologies, OCaml bindings LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the OCaml bindings to develop applications using llvm. Package: libllvm-20-ocaml-dev Description-md5: 7727e410aa3baa784cb47a4afb95f4e8 Description-en: Modular compiler and toolchain technologies, OCaml bindings LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the OCaml bindings to develop applications using llvm. Package: libllvm-ocaml-dev Description-md5: 8230a64f1434e6a9d130fd514213f349 Description-en: Modular compiler and toolchain technologies, bindings for OCaml LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This is a dependency package providing the default bindings for OCaml. Package: libllvm20 Description-md5: a8854f4edf76a8c14ce34dc209bd1d11 Description-en: Modular compiler and toolchain technologies, runtime library LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This package contains the LLVM runtime library. Package: libllvmlibc-20-dev Description-md5: b678725717171e9f1656f9727ef63f83 Description-en: LLVM C Library - dev package Implementation of the C standard library targeting C17 and above. . This is an experimental project. Don't use in production. Package: libluv-ocaml Description-md5: 4f46b66fecf846ef1fca6e388be990ee Description-en: OCaml binding to libuv (Runtime library) Luv is a binding to libuv, the cross-platform C library that does asynchronous I/O in Node.js and runs its main loop. . Besides asynchronous I/O, libuv also supports multiprocessing and multithreading. Multiple event loops can be run in different threads. libuv also exposes a lot of other functionality, amounting to a full OS API, and an alternative to the standard module Unix. . This package contains the runtime library of Luv. Package: libluv-ocaml-dev Description-md5: 5e2090bc1920c5e434926926eed95e4d Description-en: OCaml binding to libuv (Development package) Luv is a binding to libuv, the cross-platform C library that does asynchronous I/O in Node.js and runs its main loop. . Besides asynchronous I/O, libuv also supports multiprocessing and multithreading. Multiple event loops can be run in different threads. libuv also exposes a lot of other functionality, amounting to a full OS API, and an alternative to the standard module Unix. . This package contains the development library of Luv. Package: libluv-unix-ocaml Description-md5: 7a9296aa954fce0ea458dc54c4bd438a Description-en: Helpers for interfacing OCaml Luv and Unix (Runtime library) Luv is a binding to libuv, the cross-platform C library that does asynchronous I/O in Node.js and runs its main loop. . Besides asynchronous I/O, libuv also supports multiprocessing and multithreading. Multiple event loops can be run in different threads. libuv also exposes a lot of other functionality, amounting to a full OS API, and an alternative to the standard module Unix. . This package contains the runtime library of luv_unix, helpers for interfacing Luv and Unix. Package: libluv-unix-ocaml-dev Description-md5: fe35dbfcbd6e44849088efd3242c2910 Description-en: Helpers for interfacing OCaml Luv and Unix (Development package) Luv is a binding to libuv, the cross-platform C library that does asynchronous I/O in Node.js and runs its main loop. . Besides asynchronous I/O, libuv also supports multiprocessing and multithreading. Multiple event loops can be run in different threads. libuv also exposes a lot of other functionality, amounting to a full OS API, and an alternative to the standard module Unix. . This package contains the development library of luv_unix, helpers for interfacing Luv and Unix. Package: libmagick++-7-headers Description-md5: 06ec9b73bbf347a03e40ab70696842f9 Description-en: object-oriented C++ interface to ImageMagick - header files The Magick++ library is a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This package includes header files needed to compile programs using Magick++. . This package is independent of channel depth. Package: libmagick++-7.q16-5 Description-md5: 0c41c32b31e56b653148d8cf9257e740 Description-en: C++ interface to ImageMagick -- quantum depth Q16 The Magick++ library is a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This package contains the C++ libraries needed to run executables that make use of libMagick++. . This version of libmagick++ is compiled for a channel depth of 16 bits (Q16). Package: libmagick++-7.q16-dev Description-md5: c3c989aa362d5214cb2ded85b4ac9299 Description-en: C++ interface to ImageMagick - development files (Q16) The Magick++ library is a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This package includes header files and static libraries needed to compile programs using Magick++. . This version of libmagick++-dev is compiled for a channel depth of 16 bits (Q16). Package: libmagick++-7.q16hdri-5 Description-md5: b0c54866be90ee2a5f4037f3dfc1cdb4 Description-en: C++ interface to ImageMagick -- quantum depth Q16HDRI The Magick++ library is a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This package contains the C++ libraries needed to run executables that make use of libMagick++. . This version of libmagick++ is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagick++-7.q16hdri-dev Description-md5: bf2ce0cd49bc868a6c331922951dcdb3 Description-en: C++ interface to ImageMagick - development files (Q16HDRI) The Magick++ library is a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This package includes header files and static libraries needed to compile programs using Magick++. . This version of libmagick++-dev is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagick++-dev Description-md5: 72df7d1aa6ab2eef5f03e47d40bc60ab Description-en: object-oriented C++ interface to ImageMagick -- dummy package The Magick++ library was a set of C++ wrapper classes that provides access to the ImageMagick package functionality from within a C++ application. . This is a transitional package to help migrate systems to the new ABI of libmagick++-7 development files for default channel depth. . This is a dummy package. You can safely purge or remove it. Package: libmagickcore-7-arch-config Description-md5: 2bf5b3d73143b88a604852f9d76e6e3c Description-en: low-level image manipulation library - architecture header files The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package includes the architecture dependent part of the headers files used by MagickCore. . This package is independent of channel depth. Package: libmagickcore-7-headers Description-md5: a8db8761301b33146d93d31dc2ac97b4 Description-en: low-level image manipulation library - header files The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package includes the architecture independent header files needed to compile programs using MagickCore. . This package is independent of channel depth. Package: libmagickcore-7.q16-10 Description-md5: f688f89f9dd63da95c49e94b4496cd52 Description-en: low-level image manipulation library -- quantum depth Q16 The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package contains the C libraries needed to run executables that make use of MagickCore. . This version of libmagickcore is compiled for a channel depth of 16 bits (Q16). Package: libmagickcore-7.q16-10-extra Description-md5: a06d4ac082de7d3d4609131eb58764ca Description-en: low-level image manipulation library - extra codecs (Q16) This package adds support for SVG, WMF, OpenEXR, DjVu and Graphviz to MagickCore. . This version of libmagickcore-extra is compiled for a channel depth of 16 bits (Q16). Package: libmagickcore-7.q16-dev Description-md5: b3e9994222fe22a105ea09bc9fdbf60b Description-en: low-level image manipulation library - development files (Q16) The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package includes header static libraries needed to compile programs using MagickCore. . This version of libmagickcore-dev is compiled for a channel depth of 16 bits (Q16). Package: libmagickcore-7.q16hdri-10 Description-md5: 3b22716bce6596b26b5d0fe6f8a409c0 Description-en: low-level image manipulation library -- quantum depth Q16HDRI The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package contains the C libraries needed to run executables that make use of MagickCore. . This version of libmagickcore is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagickcore-7.q16hdri-10-extra Description-md5: cd6b982f20932b9a3882651080cec791 Description-en: low-level image manipulation library - extra codecs (Q16HDRI) This package adds support for SVG, WMF, OpenEXR, DjVu and Graphviz to MagickCore. . This version of libmagickcore-extra is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagickcore-7.q16hdri-dev Description-md5: 318e99730be581bdf46a9d774b773c39 Description-en: low-level image manipulation library - development files (Q16HDRI) The MagickCore API is a low-level interface between the C programming language and the ImageMagick image processing libraries and is recommended for wizard-level programmers only. Unlike the MagickWand C API which uses only a few opaque types and accessors, with MagickCore you almost exclusively access the structure members directly. . This package includes header static libraries needed to compile programs using MagickCore. . This version of libmagickcore-dev is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagickcore-dev Description-md5: 1194f93f6ea33e3e32bfd62e17110f32 Description-en: low-level image manipulation library -- dummy package This package included header files and static libraries needed to compile programs using MagickCore. . This is a transitional package to help migrate systems to the new ABI of libmagickcore-7 development files for default channel depth. . This is a dummy package. You can safely purge or remove it. Package: libmagickwand-7-headers Description-md5: 26a899f36addb78d0dfc2cc24f28ad9a Description-en: image manipulation library - headers files The MagickWand API is the recommended interface between the C programming language and the ImageMagick image processing libraries. Unlike the MagickCore C API, MagickWand uses only a few opaque types. Accessors are available to set or get important wand properties. . This package includes header files needed to compile programs using MagickWand. . This package is independent of channel depth. Package: libmagickwand-7.q16-10 Description-md5: 0c875be0fa5c3c27073edfb377baa500 Description-en: image manipulation library -- quantum depth Q16 The MagickWand API is the recommended interface between the C programming language and the ImageMagick image processing libraries. Unlike the MagickCore C API, MagickWand uses only a few opaque types. Accessors are available to set or get important wand properties. . This package contains the C libraries needed to run executables that make use of MagickWand. . This version of libmagickwand is compiled for a channel depth of 16 bits (Q16). Package: libmagickwand-7.q16-dev Description-md5: 6e42d2139f5f25e467dea8208c50f942 Description-en: image manipulation library - development files (Q16) The MagickWand API is the recommended interface between the C programming language and the ImageMagick image processing libraries. Unlike the MagickCore C API, MagickWand uses only a few opaque types. Accessors are available to set or get important wand properties. . This package the static libraries needed to compile programs using MagickWand. . This version of libmagickwand-dev is compiled for a channel depth of 16 bits (Q16). Package: libmagickwand-7.q16hdri-10 Description-md5: bb13722c05450e5cf602707c87e455ad Description-en: image manipulation library -- quantum depth Q16HDRI The MagickWand API is the recommended interface between the C programming language and the ImageMagick image processing libraries. Unlike the MagickCore C API, MagickWand uses only a few opaque types. Accessors are available to set or get important wand properties. . This package contains the C libraries needed to run executables that make use of MagickWand. . This version of libmagickwand is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagickwand-7.q16hdri-dev Description-md5: 129fb0c8f9aeae8e8d848457773b5c4e Description-en: image manipulation library - development files (Q16HDRI) The MagickWand API is the recommended interface between the C programming language and the ImageMagick image processing libraries. Unlike the MagickCore C API, MagickWand uses only a few opaque types. Accessors are available to set or get important wand properties. . This package the static libraries needed to compile programs using MagickWand. . This version of libmagickwand-dev is compiled for a channel depth of 16 bits with high dynamic range (Q16HDRI). Package: libmagickwand-dev Description-md5: a3adfacb12df4d2fdcf28b53ba79f8be Description-en: image manipulation library -- dummy package This package included the static libraries needed to compile programs using MagickWand. . This is a transitional package to help migrate systems to the new ABI of libmagickwand-7 development files for default channel depth. . This is a dummy package. You can safely purge or remove it. Package: libmailcommon-data Description-md5: de44abf0ed6615d24862e961ae9a8253 Description-en: KDE PIM email utility library - data files The mail common library provides utility functions for dealing with email. . This package contains the shared data distributed with the library. . This package is part of KDE PIM module. Package: libmailcommon-dev Description-md5: e5f863b6e3a15d202b91e4c1f6cd9620 Description-en: KDE PIM email utility library - development files The mail common library provides utility functions for dealing with email. . This package contains the development files needed for the KDE PIM mail common library. . This package is part of KDE PIM module. Package: libmailcommon-plugins Description-md5: 9f85869ef7fe19f347dca80a74764ce0 Description-en: KDE PIM email utility library - plugins The mail common library provides utility functions for dealing with email. . This package contains the plugins distributed with the library. . This package is part of KDE PIM module. Package: libmailimporter-data Description-md5: 83016ee63cc91d26ff6b970d4e55ccac Description-en: KDE PIM Mail importer library - data files Contains the data files for building software that uses the library for importing mails into KDE applications. . This package is part of the KDE PIM module. Package: libmailimporter-dev Description-md5: d21a03d5278835de775218569270e83c Description-en: KDE PIM Mail importer library - development files Contains development files for building software that uses the library for importing mails into KDE applications. . This package is part of the KDE PIM module. Package: libmaus2-2 Description-md5: 7b52f6dbc57ca0d2e08c74363898d9fd Description-en: collection of data structures and algorithms for biobambam Libmaus2 is a collection of data structures and algorithms. It contains . * I/O classes (single byte and UTF-8) * bitio classes (input, output and various forms of bit level manipulation) * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...) * BAM sequence alignment files input/output (simple and collating) . and many lower level support classes. Package: libmaus2-dev Description-md5: 5985b8355d85114b571b6940b393017d Description-en: collection of data structures and algorithms for biobambam (devel) Libmaus2 is a collection of data structures and algorithms. It contains . * I/O classes (single byte and UTF-8) * bitio classes (input, output and various forms of bit level manipulation) * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...) * BAM sequence alignment files input/output (simple and collating) . and many lower level support classes. . This package contains header files and static libraries. Package: libmediastreamer-data Description-md5: e6decf8ce97434d7181ee0fb5be2cec0 Description-en: Voice and video streaming engine for telephony (data files) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains architecture-independent data files. Package: libmediastreamer-dev Description-md5: 70a6dd6f4bb604660ad7eb871f205f9f Description-en: Development files for the mediastreamer2 library Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the development files for the development library. Package: libmediastreamer-doc Description-md5: f09489e7ba5c572e8c07c668520c004b Description-en: Voice and video streaming engine for telephony (documentation) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the developer documentation. Package: libmediastreamer-tools Description-md5: 6db78e6b9ba70708c3f3ad198d157aef Description-en: Voice and video streaming engine for telephony (programs) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains some programs using the library. Package: libmediastreamer13t64 Description-md5: 6950a75e17c619fb9e02b5860d73831d Description-en: Voice and video streaming engine for telephony (base) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the base library. Package: libmeep-mpi-default-dev Description-md5: 42127b3fc82eb5c718211a1d0ce39716 Description-en: development library for using parallel version of meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains some files for developing software. Package: libmeep-mpi-default30 Description-md5: affd7fba383c4a57f50687bf9c8ff972 Description-en: library for using parallel version of meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains libraries for the OpenMPI version on 64bit architectures and for the MPICH version on 32bit architectures. Package: libmetkit-data Description-md5: e17aed0c2e16f83f05902006ac4a1168 Description-en: Data used by the ECMWF Metkit Library MetKit is a toolkit from ECMWF for manipulating and describing meteorological objects, implementing the MARS language and associated processing and semantics. . This package contains data used by Metkit Package: libmetkit-dev Description-md5: b404f9e9f4b2eda46ac44f899fd39740 Description-en: Development files for the Metkit toolkit MetKit is a toolkit from ECMWF for manipulating and describing meteorological objects, implementing the MARS language and associated processing and semantics. . This package provides shared libraries and headers. Package: libmetkit-utils Description-md5: 18ec69375ccc709635f8cf67931673dc Description-en: Utilities for the MetKit MARS access library MetKit is a toolkit from ECMWF for manipulating and describing meteorological objects, implementing the MARS language and associated processing and semantics. . This package contains the tool 'parse-mars-request' Package: libmetkit0d Description-md5: ffd1c836e3d87e69b2df136b1d6bd4dc Description-en: Library for Meteorology tookit, implementing MARS language MetKit is a toolkit from ECMWF for manipulating and describing meteorological objects, implementing the MARS language and associated processing and semantics. MARS is the Meteorological Archive Retrieval System from ECMWF. Package: libmfem-dev Description-md5: 65e4de3a4887a9507024be17bd159bc0 Description-en: C++ library for finite element methods - development files This is a modular parallel C++ library for finite element methods. Its goal is to enable high-performance scalable finite element discretization research and application development on a wide variety of platforms, ranging from laptops to supercomputers. . This package provides mfem library development files. Package: libmfem4.7 Description-md5: e1ccc7afcf6f837f0196eee7faa3d6ac Description-en: Lightweight, general, scalable C++ library for finite element methods This is a modular parallel C++ library for finite element methods. Its goal is to enable high-performance scalable finite element discretization research and application development on a wide variety of platforms, ranging from laptops to supercomputers. Package: libmfsio-dev Description-md5: 4a526cc84b0b27954fc8294e0fb1014a Description-en: MooseFS IO library - development files This package contains the development files used for building applications that use the MooseFS IO Library. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: libmfsio1t64 Description-md5: bed661dc7d47f115fc0806fd5b332860 Description-en: MooseFS IO library MooseFS client IO library. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: libmgl-data Description-md5: 72a1e314d7edd0e8da326b2891f7a2c6 Description-en: library for scientific graphs (data files) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the MathGL data files. Package: libmgl-dev Description-md5: 441e5bcf090e9cc82c7c471500af5e51 Description-en: library for scientific graphs (development files) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the development files. Package: libmgl-fltk8t64 Description-md5: e266cf0dd887b9170b6126ba6b9f77c6 Description-en: library for scientific graphs (fltk interface for windows) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the fltk interface shared object files for window opening. Package: libmgl-glut8t64 Description-md5: bc00345a6654105a9c2bc4b3630451c6 Description-en: library for scientific graphs (glut interface for windows) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the glut interface shared object files for window opening. Package: libmgl-mpi8t64 Description-md5: 2d3d49746caedfdbced3dc37fdf8f29e Description-en: library for scientific graphs (mpi enhanced runtime library) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the, parallel interface enhanced, shared object files. Package: libmgl-qt5-8t64 Description-md5: 65674fc1ba0d029dd5fccd30999c71b8 Description-en: library for scientific graphs (Qt interface for windows) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the Qt interface shared object files for window opening. Package: libmgl-wnd8t64 Description-md5: ae1ba382faceb7364c853fce1dda06e4 Description-en: library for scientific graphs (windows runtime library) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the window opening related shared object files based on Fltk, Qt and Wx. Package: libmgl-wx8t64 Description-md5: 756ea267aac5d394736c05f89a837fad Description-en: library for scientific graphs (wx interface for windows) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the wx interface shared object files for window opening. Package: libmgl8t64 Description-md5: 5b29b5827601f6236045854d314cfdae Description-en: library for scientific graphs (main runtime library) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the shared object files. Package: libmimetreeparser-data Description-md5: e32fb6af99e6a60451fb53d53107e3d2 Description-en: MIME tree parser - data files This is a parser for a MIME tree and is based on KMime. The goal is given a MIME tree to extract a list of parts (e.g. text, html) and a list of attachments, check the validity of the signatures and decrypt any encrypted part. . This package contains the data files. Package: libmimetreeparser-dev Description-md5: 054cc70a8dfa310c379bce46e2ca7065 Description-en: MIME tree parser - development files This is a parser for a MIME tree and is based on KMime. The goal is given a MIME tree to extract a list of parts (e.g. text, html) and a list of attachments, check the validity of the signatures and decrypt any encrypted part. . This package contains the development files. Package: libmirserver-dev Description-md5: 5df494748c81b14deddb055b2b3bb810 Description-en: Mir Display Server - server library - development headers Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains header files required to build Mir servers. Package: libmlir-19 Description-md5: b2671453793175bc5bd7c93d05c96c40 Description-en: Multi-Level Intermediate Representation library Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. Package: libmlir-19-dev Description-md5: b2671453793175bc5bd7c93d05c96c40 Description-en: Multi-Level Intermediate Representation library Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. Package: libmlir-20 Description-md5: b2671453793175bc5bd7c93d05c96c40 Description-en: Multi-Level Intermediate Representation library Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. Package: libmlir-20-dev Description-md5: b2671453793175bc5bd7c93d05c96c40 Description-en: Multi-Level Intermediate Representation library Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. Package: libmorbig-ocaml-dev Description-md5: ba5ef021c11ef2ee4b4950a4fe7b7a8f Description-en: OCaml libraries of the morbig shell parser (development files) Morbig is a parser for shell scripts that are written in the POSIX shell script language. It parses the scripts statically, that is without executing them, and constructs a concrete syntax tree for each of them. The concrete syntax trees are built using constructors according to the shell grammar of the POSIX standard. . This package contains the development stuff you need to use morbig for the development of programs. It provides, among others, functions for parsing shell scripts, the type definition of concrete syntax trees, and functions for reading and writing concrete syntax trees. Package: libmorsmall-ocaml-dev Description-md5: 37af2166c902045c700f2913c257a622 Description-en: OCaml libraries for abstract syntax of shell scripts The morsmall library defines a type for abstract syntax trees of POSIX shell scripts. It also provides a converter from the concrete syntax trees produced by the morbig parser to abstract syntax, and a printer from abstract syntax to shell. . This development library is only useful when you are developing OCaml programs that act on shell scripts. Package: libmptcpd3 Description-md5: 844bd3471e4e6f51098be2d61a6ff896 Description-en: Multipath TCP Daemon Library The Multipath TCP Daemon Library is a library to build plugins for the mptcpd, short for Multipath TCP Daemon. This library can be used to perform Multipath TCP path management related operations in the user space. . The daemon interacts with the Linux kernel through a generic Netlink connection to track per-connection information (e.g. available remote addresses), available network interfaces, request new MPTCP subflows, handle requests for subflows, etc. The plugin can react on events and requests path management related operations to create subflows, advertise addresses, etc. Package: libmptcpd3-dev Description-md5: 2f7b85d1f72587bc78856b7bd4fd9f9e Description-en: Multipath TCP Daemon Library - Development files The Multipath TCP Daemon Library is a library to build plugins for the mptcpd, short for Multipath TCP Daemon. This library can be used to perform Multipath TCP path management related operations in the user space. . The daemon interacts with the Linux kernel through a generic Netlink connection to track per-connection information (e.g. available remote addresses), available network interfaces, request new MPTCP subflows, handle requests for subflows, etc. The plugin can react on events and requests path management related operations to create subflows, advertise addresses, etc. . This package provides the development files (ie. includes, static library) that allows one to build software or mptcpd plugins which uses libmptcpd3. Package: libmptcpd3-doc Description-md5: fb042abf60b3b692f172a4ad8806fda8 Description-en: Multipath TCP Daemon Library - documentation The Multipath TCP Daemon Library is a library to build plugins for the mptcpd, short for Multipath TCP Daemon. This library can be used to perform Multipath TCP path management related operations in the user space. . The daemon interacts with the Linux kernel through a generic Netlink connection to track per-connection information (e.g. available remote addresses), available network interfaces, request new MPTCP subflows, handle requests for subflows, etc. Plugins can react on events and requests path management related operations to create subflows, advertise addresses, etc. . This package provides documentation files describing how to use libmptcpd3. Package: libmptcpwrap0 Description-md5: 9819956b26fb038cd274fa89a06f7029 Description-en: Multipath TCP Converter Library The Multipath TCP Converter Library - libmptcpwrap - helps to enable MPTCP usage on unmodified legacy services. This library can be used with LD_PRELOAD to force MPTCP socket usage instead of TCP. . To use MPTCP on a Linux kernel, it is required to explicitly create an MPTCP socket. In theory, it is then needed to modify an existing program to benefit from MPTCP. In practice, 'socket()' syscall can be modified to create an MPTCP socket instead of TCP. This is done with the help of this lib and LD_PRELOAD. Package: libmumps-5.7 Description-md5: 3f5077d4617d80daf0ac8274bb6a5446 Description-en: Direct linear systems solver - parallel shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. Package: libmumps-64pord-5.7 Description-md5: 5a888b1a342a2e94a90d63109cb8d3a2 Description-en: Direct linear systems solver (64 bit) - parallel shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps-64pord-ptscotch-5.7 Description-md5: a0dae21b4a69ca731cc72362a293e857 Description-en: Direct linear systems solver (64 bit) - PTScotch-version shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides shared libaries enabling reordering of data using PTSCOTCH. It supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps-64pord-scotch-5.7 Description-md5: e2fea1f33f14732db6f96ed663716c14 Description-en: Direct linear systems solver (64 bit) - Scotch-version shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides shared libaries enabling reordering of data using SCOTCH. It supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps-64pord-seq-5.7 Description-md5: 3f01d6b4e054bb8a8f9f0d747d3ab986 Description-en: Direct linear systems solver (64 bit) - non-parallel shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package is built the MUMPS libseq dummy sequential MPI library. Unless you know that you specifically need this, then you almost certainly want the libmumps-64pord-5.7 package. . This package supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps-dev Description-md5: df8d2f2904a9df44aec3849c3beec234 Description-en: Direct linear systems solver - parallel development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. Package: libmumps-headers-dev Description-md5: 73a2bea231709018b2b75161cab4d961 Description-en: Direct linear systems solver - development header files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides MUMPS header files used by libmumps*-dev packages. Package: libmumps-ptscotch-5.7 Description-md5: dda2423c0624e114135fde415d2ed7b5 Description-en: Direct linear systems solver - PTScotch-version shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides shared libaries enabling reordering of data using PTSCOTCH. Package: libmumps-ptscotch-dev Description-md5: 321a8c81f61b1038c0a28a977f0a7e03 Description-en: Direct linear systems solver - PTScotch-version development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides static libaries enabling reordering of data using PTSCOTCH. Package: libmumps-scotch-5.7 Description-md5: 0274bcf64a0ac447a0ad91ece85a0774 Description-en: Direct linear systems solver - Scotch-version shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides shared libaries enabling reordering of data using SCOTCH. Package: libmumps-scotch-dev Description-md5: 3e8e4d2096cd36a29623e15eb483acec Description-en: Direct linear systems solver - Scotch-version development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides static libaries enabling reordering of data using SCOTCH. Package: libmumps-seq-5.7 Description-md5: 079a3048c3620fad39984dacf82bca56 Description-en: Direct linear systems solver - non-parallel shared libraries MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package is built the MUMPS libseq dummy sequential MPI library. Unless you know that you specifically need this, then you almost certainly want the libmumps-5.7 package. Package: libmumps-seq-dev Description-md5: f5a73cf19e9751103fcb0255d1e6d748 Description-en: Direct linear systems solver - non-parallel development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package is built the MUMPS libseq dummy sequential MPI library. Unless you know that you specifically need this, then you almost certainly want the libmumps-dev package. Package: libmumps64-dev Description-md5: 04ddfe628dc0190ea8320168b051fe3a Description-en: Direct linear systems solver (64 bit) - parallel development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps64-ptscotch-dev Description-md5: 56220a793222f7a1dbf30aa904dcdab0 Description-en: Direct linear systems solver (64 bit) - PTScotch-version development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides static libaries enabling reordering of data using PTSCOTCH. It supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps64-scotch-dev Description-md5: fbbdc82aa71f50af5cd14c0f1624aaa4 Description-en: Direct linear systems solver (64 bit) - Scotch-version development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package provides static libaries enabling reordering of data using SCOTCH. It supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmumps64-seq-dev Description-md5: 224ca7fac3b4348baa80d8cc51423a16 Description-en: Direct linear systems solver (64 bit) - non-parallel development files MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. . This package is built the MUMPS libseq dummy sequential MPI library. Unless you know that you specifically need this, then you almost certainly want the libmumps64-dev package. . This package supports 64-bit ordering (-DPORD_INTSIZE64). Package: libmusic-dev Description-md5: a5e50c9d0fb03b123c6533f9334581f6 Description-en: Multi-Simulation Coordinator for MPI -- Development files MUSIC allows spike events and continuous time series to be communicated between parallel applications within the same MPI job in a cluster computer. Typical usage cases are connecting models developed for different simulators and connecting a parallel simulator to a post-processing tool. . This package contains the header files which are needed to compile and link programs against libmusic. Package: libmusic1t64 Description-md5: c929caad0761ce3cedba865a3aa1b814 Description-en: Multi-Simulation Coordinator for MPI -- Runtime library MUSIC allows spike events and continuous time series to be communicated between parallel applications within the same MPI job in a cluster computer. Typical usage cases are connecting models developed for different simulators and connecting a parallel simulator to a post-processing tool. . This package contains the MUSIC library. Package: libmysqlclient24 Description-md5: 49de807663510f5f2afd3e71efe199f1 Description-en: MySQL database client library MySQL is a fast, stable and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the client library. Package: libnblib-gmx-dev Description-md5: dc27cd189c67f6f5e8570c76bbb9230c Description-en: GROMACS molecular dynamics sim, NB-LIB development kit GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . The goal of NB-LIB is to enable researchers to programmatically define molecular simulations. Traditionally these have been performed using a collection of executables and a manual workflow followed by a “black-box” simulation engine. NB-LIB allows users to script a variety of novel simulation and analysis workflows at a more granular level. . This package contains header files for NB-LIB. For the legacy GROMACS API, see libgromacs-dev. Package: libnblib-gmx0 Description-md5: 4d65ba852c35b66c083ab49bac0f2eb5 Description-en: GROMACS molecular dynamics sim, NB-LIB shared libraries GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . The goal of NB-LIB is to enable researchers to programmatically define molecular simulations. Traditionally these have been performed using a collection of executables and a manual workflow followed by a “black-box” simulation engine. NB-LIB allows users to script a variety of novel simulation and analysis workflows at a more granular level. . This package contains the shared library, libnblib-gmx. Package: libncarg-bin Description-md5: f8affe674e63c9363c21bae99542a011 Description-en: NCAR command-language library - development tools This package provides development tools for developing applications of the NCARG command library, such as wrappers for compilation of NCL executables. Package: libncarg-data Description-md5: b77afafcc6bb70406642ac66445db160 Description-en: NCAR command-language library - Data NCAR Command Language library used by NCAR. This package contains data such as colormaps, fonts and map data that is used by the ncarg library. Package: libncarg-dev Description-md5: 9c9148628e6b5c148812518160386ff6 Description-en: Development files for the NCAR command language library ncarg is the NCAR command-language. Package: libncarg0t64 Description-md5: 848c564cd2a3d341bcecdb0eb6060b5d Description-en: NCAR command-language library NCAR Command Language library used by NCAR binaries and tools. Package: libncbi-ngs-dev Description-md5: 3422bc1afe8fcaeaf775092e387806dd Description-en: Next Generation Sequencing language Bindings (C++ development) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . This is the full development package. Package: libncbi-ngs3 Description-md5: eea05f384e3aa5790614840e037c0cad Description-en: Next Generation Sequencing language Bindings (full runtime) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. Package: libnglib-6.2t64 Description-md5: 749dde83fc8c0f63e018605be247d718 Description-en: Automatic 3d tetrahedral mesh generator shared library NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. The connection to a geometry kernel allows the handling of IGES and STEP files. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. . This package contains the shared libraries. Package: libnglib-dev Description-md5: 688d6219dad630c08a816fa98ae2a6fb Description-en: Automatic 3d tetrahedral mesh generator development files NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. The connection to a geometry kernel allows the handling of IGES and STEP files. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. . This package contains the static libraries and header files. Package: libngs-c++-dev Description-md5: 9534a0a0250642f9581d48e416693286 Description-en: Next Generation Sequencing language Bindings (C++ development) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . This is the development package for C++ usage. Package: libngs-c++3 Description-md5: 0fec940c649cd38f828013b13b889f53 Description-en: Next Generation Sequencing language Bindings (C++ runtime) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. Package: libngs-java Description-md5: 7e9c9b034df5ad8390e688ab3dbb4b4b Description-en: Next Generation Sequencing language Bindings (Java bindings) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . Java bindings. Package: libngs-java-doc Description-md5: 0b28558f8410c16ac13258cb3eb503e2 Description-en: Next Generation Sequencing language Bindings (Java documentation) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . Documentation for the Java bindings. Package: libngs-jni Description-md5: ac3f619a761e17f97e3175d723403d2c Description-en: Next Generation Sequencing language Bindings (Java native binding) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . Java native bindings. Package: libnode-dev Description-md5: 75974f1c9f5486fb656a060b83cecc2d Description-en: evented I/O for V8 javascript (development files) Node.js is a platform built on Chrome's JavaScript runtime for easily building fast, scalable network applications. Node.js uses an event-driven, non-blocking I/O model that makes it lightweight and efficient, perfect for data-intensive real-time applications that run across distributed devices. . This package provides development headers for libnode115 Package: libnode115 Description-md5: aa09cb3413d563f4b3b5a9d6a7723845 Description-en: evented I/O for V8 javascript - runtime library Node.js is a platform built on Chrome's JavaScript runtime for easily building fast, scalable network applications. Node.js uses an event-driven, non-blocking I/O model that makes it lightweight and efficient, perfect for data-intensive real-time applications that run across distributed devices. . Node.js is bundled with several useful libraries to handle server tasks: . System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX, HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings. . This package provides the dynamic library for Node.js. Package: libnss-extrausers Description-md5: ddb09d6e0234d21eb8b08b919f49ef5b Description-en: nss module to have an additional passwd, shadow and group file This Name Service Switch (NSS) module reads /var/lib/extrausers/passwd, /var/lib/extrausers/shadow and /var/lib/extrausers/groups, allowing to store system accounts and accounts copied from other systems in different files. Package: libobjcryst-dev Description-md5: 2c5dabbd4ef3f246ad9fe3f19b327f97 Description-en: Object-Oriented Crystallographic Library for C++ (headers) libobjcryst expands the ObjCryst++ source to make it easier to use as a system shared lirbary but does not include GUI related files from ObjCryst++. . This package contains the developer headers. Package: libobjcryst0 Description-md5: 4a81a813b9e68be4849cff61d9d70c3b Description-en: Object-Oriented Crystallographic Library for C++ libobjcryst expands the ObjCryst++ source to make it easier to use as a system shared lirbary but does not include GUI related files from ObjCryst++. . This package contains the shared library. Package: libocsipersist-lib-ocaml Description-md5: 0d26099a6ff5afca0cbcc1028abd5805 Description-en: persistent key/value storage for Ocsigen (support library) (runtime) This library defines signatures and auxiliary tools for defining backends for the Ocsipersist frontend. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. Implementations of the following backends currently exist: PostgreSQL, SQLite. . This package contains runtime files. Package: libocsipersist-lib-ocaml-dev Description-md5: 6e08cbcc8cd11537175e86a3a6d048bb Description-en: persistent key/value storage for Ocsigen (support library) (dev) This library defines signatures and auxiliary tools for defining backends for the Ocsipersist frontend. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. Implementations of the following backends currently exist: PostgreSQL, SQLite. . This package contains development files. Package: libocsipersist-ocaml Description-md5: 9c97f02ba7e1041116ed8006143e7a80 Description-en: persistent key/value storage for Ocsigen (runtime) This is an virtual library defining a unified frontend for a number of key/value storage implementations. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. Implementations of the following backends currently exist: PostgreSQL, SQLite. . This package contains runtime files. Package: libocsipersist-ocaml-dev Description-md5: 345575b881edc56701b3eeeaf10615c2 Description-en: persistent key/value storage for Ocsigen (dev) This is an virtual library defining a unified frontend for a number of key/value storage implementations. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. Implementations of the following backends currently exist: PostgreSQL, SQLite. . This package contains development files. Package: libocsipersist-pgsql-ocaml Description-md5: 0e90149a060df5c6b2963821e18aab97 Description-en: persistent key/value storage for Ocsigen using PostgreSQL (runtime) This library provides a PostgreSQL backend for the unified key/value storage frontend as defined in the ocsipersist package. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. . This package contains runtime files. Package: libocsipersist-pgsql-ocaml-dev Description-md5: 924d121c7f4d5699fbe73d281e331cfb Description-en: persistent key/value storage for Ocsigen using PostgreSQL (dev) This library provides a PostgreSQL backend for the unified key/value storage frontend as defined in the ocsipersist package. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. . This package contains development files. Package: libocsipersist-sqlite-ocaml Description-md5: 307632a4b33af3cfadc30a2b36ee0abe Description-en: persistent key/value storage for Ocsigen using SQLite (runtime) This library provides a SQLite backend for the unified key/value storage frontend as defined in the ocsipersist package. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. . This package contains runtime files. Package: libocsipersist-sqlite-ocaml-dev Description-md5: f307adc69b6bb3ad6f5743b3149b5da3 Description-en: persistent key/value storage for Ocsigen using SQLite (dev) This library provides a SQLite backend for the unified key/value storage frontend as defined in the ocsipersist package. Ocsipersist is used pervasively in Eliom/Ocsigen to handle sessions and references. It can be used as an extension for ocsigenserver or as a library. . This package contains development files. Package: libomp-19-dev Description-md5: 6f692a0e089a2d4587b533b4f246db62 Description-en: LLVM OpenMP runtime - dev package The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. Package: libomp-19-doc Description-md5: 55ecafb377674896611f496b3a8a1433 Description-en: LLVM OpenMP runtime - Documentation The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. . This package contains the documentation of this package. Package: libomp-20-dev Description-md5: 6f692a0e089a2d4587b533b4f246db62 Description-en: LLVM OpenMP runtime - dev package The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. Package: libomp-20-doc Description-md5: 55ecafb377674896611f496b3a8a1433 Description-en: LLVM OpenMP runtime - Documentation The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. . This package contains the documentation of this package. Package: libomp-dev Description-md5: 202f0fbb693fa89b277f9dba961a9e52 Description-en: LLVM OpenMP runtime - dev package The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. . This is a dependency package providing the default LLVM OpenMP dev package. Package: libomp5 Description-md5: f0e76b8e06c2e1d37034b8d9c209ff96 Description-en: LLVM OpenMP runtime The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. . This is a dependency package providing the default LLVM OpenMP runtime. Package: libomp5-19 Description-md5: e28f50eb4beb8e316b69da2c1c0194c3 Description-en: LLVM OpenMP runtime The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. Package: libomp5-20 Description-md5: e28f50eb4beb8e316b69da2c1c0194c3 Description-en: LLVM OpenMP runtime The runtime is the part of the OpenMP implementation that your code is linked against, and that manages the multiple threads in an OpenMP program while it is executing. Package: libopenal-data Description-md5: 46f14b2122a33082c8e42cb2ecd28f83 Description-en: Software implementation of the OpenAL audio API (data files) OpenAL, the Open Audio Library, is a joint effort to create an open, vendor-neutral, cross-platform API for interactive, primarily spatialized audio. OpenAL's primary audience are application developers and desktop users that rely on portable standards like OpenGL, for games and other multimedia applications. . This package installs data files used by the OpenAL Soft shared library. Package: libopenal-dev Description-md5: dc7d0fb4cfa3510d0c5bd03b73f95606 Description-en: Software implementation of the OpenAL audio API (development files) OpenAL, the Open Audio Library, is a joint effort to create an open, vendor-neutral, cross-platform API for interactive, primarily spatialized audio. OpenAL's primary audience are application developers and desktop users that rely on portable standards like OpenGL, for games and other multimedia applications. . This package contains the files necessary for development with OpenAL . Package: libopenal1 Description-md5: 6c583bdfdc4c7b37bbd96c94be753ea6 Description-en: Software implementation of the OpenAL audio API (shared library) OpenAL, the Open Audio Library, is a joint effort to create an open, vendor-neutral, cross-platform API for interactive, primarily spatialized audio. OpenAL's primary audience are application developers and desktop users that rely on portable standards like OpenGL, for games and other multimedia applications. . This library is meant as a compatible update/replacement to the OpenAL Sample Implementation (the SI). The SI has been unmaintained for quite a while, and would require a lot of work to clean up. This is a fork the old Windows version to attempt an accelerated ALSA version of an OpenAL implementation. . OpenAL Soft supports mono, stereo, 4-channel, 5.1, 6.1, and 7.1 output, as opposed to the SI's 4-channel max (though it did have some provisions for 6 channel, this was not 5.1, and was seemingly a "late" addition). OpenAL Soft does not support the Vorbis and MP3 extensions, however those were considered deprecated even in the SI. It does, though, support some of the newer extensions like AL_EXT_FLOAT32 and AL_EXT_MCFORMATS for multi-channel and floating-point formats, as well as ALC_EXT_EFX for environmental audio effects, and others. . This package installs the OpenAL Soft shared library. Package: libopenmpi-dev Description-md5: b378507a7bc7ecccf3855f2ea92d5e20 Description-en: high performance message passing library -- header files Open MPI is a project combining technologies and resources from several other projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best MPI library available. A completely new MPI-3 compliant implementation, Open MPI offers advantages for system and software vendors, application developers and computer science researchers. . This package contains the header files and compiler wrappers which are needed to compile and link programs against libopenmpi. Package: libopenmpi3t64 Description-md5: 72141e1823cc63cbe9896c2f4fefec5f Description-en: high performance message passing library -- shared library Open MPI is a project combining technologies and resources from several other projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best MPI library available. A completely new MPI-3.1 compliant implementation, Open MPI offers advantages for system and software vendors, application developers and computer science researchers. . This package contains the Open MPI shared libraries. Package: libopm-common Description-md5: fa2fdadcd9a8abc6b4fb3956d49e0678 Description-en: Tools for Eclipse reservoir simulation files -- library The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . The Eclipse file format is widely used in the reservoir simulation community. This package provides library containing code for processing files in Eclipse format as well as utility code used by other OPM modules. Package: libopm-common-bin Description-md5: 0b7721ca0bfd02d60520174005663530 Description-en: Tools for Eclipse reservoir simulation files -- utility programs The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . The Eclipse file format is widely used in the reservoir simulation community. This package provides utility programs to process files in this format, like for comparing two files, converting between binary version and formatted text file format, etc. Package: libopm-common-dev Description-md5: 9f0c4321a4edd4076d38b2289c90d613 Description-en: Tools for Eclipse reservoir simulation files -- development files The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . This package contains the shared buildsystem of all OPM modules, the headers for input, parsing, and output of files in Eclipse format, a format widely used in the reservoir simulation community, and generic utilities used in other OPM modules. Package: libopm-common-doc Description-md5: 6d3a99580e17a54e28dd7e4ae43c3909 Description-en: Tools for Eclipse reservoir simulation files -- documentation The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . This package contains the source code documentation of library and tools for Eclipse reservoir simulation files. Package: libopm-grid Description-md5: 43e564721b92f6c16ececf703742368f Description-en: DUNE grid implementations for reservoir simulation -- library The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-grid provides implementations of grids for reservoir simulation, corner point or more general pillar grids, following the DUNE grid interface: CpGrid, a parallel corner point grid, and PolyhedralGrid a more general serial grid implementation of an unstructured, legacy, grid. . A standard grid type in the petroleum industry, corner-point grids fills the domain with a relatively low number of cells while still providing sufficient flexibility to model faults, fractures and erosion. The grid format was originally designed with an eye towards geological modeling rather than numerical simulation, but is still suitable for e.g. low order finite volume discretizations. . This package provides the library of the grid implementations. Package: libopm-grid-bin Description-md5: daf5cfbf8d555d141fbbbe8e0be74f29 Description-en: corner point grid utility programs The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-grid provides implementations of grids for reservoir simulation, corner point or more general pillar grids, following the DUNE grid interface: CpGrid, a parallel corner point grid, and PolyhedralGrid a more general serial grid implementation of an unstructured, legacy, grid. . A standard grid type in the petroleum industry, corner-point grids fills the domain with a relatively low number of cells while still providing sufficient flexibility to model faults, fractures and erosion. The grid format was originally designed with an eye towards geological modeling rather than numerical simulation, but is still suitable for e.g. low order finite volume discretizations. . This package provides programs to mirror corner point grids specified in Eclipse file format and convert the corner point grid representation of an Eclipse together with permeability/porosity to file suitable for visualization with Paraview. Package: libopm-grid-dev Description-md5: fb8a51ca678b8a489fe8c21af32a4f03 Description-en: DUNE grid implementations for reservoir simulation -- development files The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-grid provides implementations of grids for reservoir simulation, corner point or more general pillar grids, following the DUNE grid interface: CpGrid, a parallel corner point grid, and PolyhedralGrid a more general serial grid implementation of an unstructured, legacy, grid. . A standard grid type in the petroleum industry, corner-point grids fills the domain with a relatively low number of cells while still providing sufficient flexibility to model faults, fractures and erosion. The grid format was originally designed with an eye towards geological modeling rather than numerical simulation, but is still suitable for e.g. low order finite volume discretizations. . This package provides the development files for the grid implementations, i.e. the headers and shared library links. Package: libopm-grid-doc Description-md5: d590b623b755f72e4588ba78c88f1dd8 Description-en: DUNE grid implementations for reservoir simulation -- documentation The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-grid provides implementations of grids for reservoir simulation, corner point or more general pillar grids, following the DUNE grid interface: CpGrid, a parallel corner point grid, and PolyhedralGrid a more general serial grid implementation of an unstructured, legacy, grid. . A standard grid type in the petroleum industry, corner-point grids fills the domain with a relatively low number of cells while still providing sufficient flexibility to model faults, fractures and erosion. The grid format was originally designed with an eye towards geological modeling rather than numerical simulation, but is still suitable for e.g. low order finite volume discretizations. . This package provides the source code documentation of the grid implementations. Package: libopm-material-dev Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libopm-material-doc Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libopm-models-dev Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libopm-models-doc Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: libopm-simulators Description-md5: 56e1acedd6234c496183c4235f53d8b5 Description-en: Open porous media / reservoir simulators -- library The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-simulators provides a research (ebos) and a production (flow) fully implicit black-oil simulators, supporting one to three phases and supporting solvent and polymer options. It uses cell centered finite volume schemes with two point flux approximation and automatic differentiation for the discretization and uses state of the art linear and nonlinear solvers. It supports standard and multi segment well models and reading and writing file in Eclipse format, a very common format used in the oil reservoir simulation community. . Package provides the library used by the research (ebos) and production (flow) fully implicit black-oil simulators. Package: libopm-simulators-bin Description-md5: a53078b54969f0808458867bd6bb2768 Description-en: Parallel porous media / reservoir simulators -- applications The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-simulators provides a research (ebos) and a production (flow) fully implicit black-oil simulators, supporting one to three phases and supporting solvent and polymer options. It uses cell centered finite volume schemes with two point flux approximation and automatic differentiation for the discretization and uses state of the art linear and nonlinear solvers. It supports standard and multi segment well models and reading and writing file in Eclipse format, a defacto industry standard in the oil reservoir simulation community. . Package provides the simulation programs. Package: libopm-simulators-dev Description-md5: 2081827cf2da00f50fdbcc42d393ba35 Description-en: Parallel porous media / reservoir simulators -- development files The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-simulators provides a research (ebos) and a production (flow) fully implicit black-oil simulators, supporting one to three phases and supporting solvent and polymer options. It uses cell centered finite volume schemes with two point flux approximation and automatic differentiation for the discretization and uses state of the art linear and nonlinear solvers. It supports standard and multi segment well models and reading and writing file in Eclipse format, a very common format used in the oil reservoir simulation community. . Package provides the development files (headers and shared library links). Package: libopm-simulators-doc Description-md5: 8b23700b16a9d881dea6ba8c0f73dea2 Description-en: Open porous media / reservoir simulators -- documentation The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-simulators provides a research (ebos) and a production (flow) fully implicit black-oil simulators, supporting one to three phases and supporting solvent and polymer options. It uses cell centered finite volume schemes with two point flux approximation and automatic differentiation for the discretization and uses state of the art linear and nonlinear solvers. It supports standard and multi segment well models and reading and writing file in Eclipse format, a very common format used in the oil reservoir simulation community. . Package provides documentation of the source code of the simulators. Package: libopm-upscaling Description-md5: f5adbe2326af653914969fa715f89e1a Description-en: Porous media upscaling tools -- library The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-upscaling provides tools for single phase and relative permeability upscaling. During upscaling effective parameters (like porosity, permeability, and capillary pressure) for a simulation on a coarser scale are computed from properties of a simulation on a fine scale. . Package provides the shared library used by the upscaling applications. Package: libopm-upscaling-bin Description-md5: 341212c591ed4e125a15eda09b058803 Description-en: Porous media upscaling tools -- applications The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-upscaling provides tools for single phase and relative permeability upscaling. During upscaling effective parameters (like porosity, permeability, and capillary pressure) for a simulation on a coarser scale are computed from properties of a simulation on a fine scale. . Package provides the upscaling applications. Package: libopm-upscaling-dev Description-md5: 08651316d101be599bb4e8f3ced0912f Description-en: Porous media upscaling tools -- development files The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-upscaling provides tools for single phase and relative permeability upscaling. During upscaling effective parameters (like porosity, permeability, and capillary pressure) for a simulation on a coarser scale are computed from properties of a simulation on a fine scale. . Package provides the development files (headers and shared library links). Package: libopm-upscaling-doc Description-md5: 64dcfd2b0519df9e7e7ab43e35aff3fc Description-en: Porous media upscaling tools -- documentation The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-upscaling provides tools for single phase and relative permeability upscaling. During upscaling effective parameters (like porosity, permeability, and capillary pressure) for a simulation on a coarser scale are computed from properties of a simulation on a fine scale. . Package provides the documentation of the source code. Package: libp4est-2.3 Description-md5: e80a50e228ca07830a64dd22d30e1642 Description-en: Algorithms for Parallel Adaptive Mesh Refinement - shared library The p4est software library enables the dynamic management of a collection of adaptive octrees, conveniently called a forest of octrees. p4est is designed to work in parallel and scale to hundreds of thousands of processor cores. . This package contains the shared library. Package: libp4est-dev Description-md5: 1eb998be717d04c1faca5373d8c4b2f0 Description-en: Algorithms for Parallel Adaptive Mesh Refinement - development files The p4est software library enables the dynamic management of a collection of adaptive octrees, conveniently called a forest of octrees. p4est is designed to work in parallel and scale to hundreds of thousands of processor cores. . This package contains the development files. Package: libp4est-sc-2.3 Description-md5: f3676b0f5475017514008ac7151ccff9 Description-en: Algorithms for Parallel Adaptive Mesh Refinement - support library The p4est software library enables the dynamic management of a collection of adaptive octrees, conveniently called a forest of octrees. p4est is designed to work in parallel and scale to hundreds of thousands of processor cores. . This package contains the support library. Package: libpam-fscrypt Description-md5: 421164b2c9097f303ec36802927e6dea Description-en: PAM module for Linux filesystem encryption fscrypt is a high-level tool for the management of Linux filesystem encryption. This tool manages metadata, key generation, key wrapping, PAM integration, and provides a uniform interface for creating and modifying encrypted directories. . This PAM module can be used to unlock one or more directories upon user login and then lock those directories when the user ends their session. Package: libpam-ssh-agent-auth Description-md5: b497af339bd0a11905af49467fcfcf83 Description-en: PAM Authentication via forwarded ssh-agent pam_ssh_agent_auth is a PAM module which permits PAM authentication via your keyring in a forwarded ssh-agent. . This module can be used to provide authentication for anything run locally that supports PAM. It was written specifically with the intention of permitting authentication for sudo without password entry, and also has been proven useful for use with su as an alternative to wheel. Package: libparpack2-dev Description-md5: fd30cbaebb5feaf3cce172b72935657f Description-en: Parallel subroutines to solve large scale eigenvalue problems (development) ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . This package contains the static libraries and the documentation for development with libparpack (including examples). Package: libparpack2t64 Description-md5: bc61facdca0e5843df473acefb3f632d Description-en: Parallel subroutines to solve large scale eigenvalue problems ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . Important Features: . * Reverse Communication Interface. * Single and Double Precision Real Arithmetic Versions for Symmetric, Non-symmetric, * Standard or Generalized Problems. * Single and Double Precision Complex Arithmetic Versions for Standard or Generalized Problems. * Routines for Banded Matrices - Standard or Generalized Problems. * Routines for The Singular Value Decomposition. * Example driver routines that may be used as templates to implement numerous Shift-Invert strategies for all problem types, data types and precision. . This package provides parpack: the MPI implementation of arpack. . This package contains the shared library. Package: libpcl-apps1.14 Description-md5: fb64622368e817e9f7599d538d8a8231 Description-en: Point Cloud Library - apps library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the libraries needed to run applications depending on PCL apps. Package: libpcl-common1.14 Description-md5: e124d70174bf8fd27896e1a2702f7c75 Description-en: Point Cloud Library - common library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL common library. Package: libpcl-dev Description-md5: 621d78511d316c10676102f4eb2d5e65 Description-en: Point Cloud Library - development files The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains development files (headers and shared library symbolic link). Package: libpcl-doc Description-md5: 2eac989d9e8426e817a291a4d72d8b4d Description-en: Point Cloud Library - documentation The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the Doxygen generated documentation. Package: libpcl-features1.14 Description-md5: 6b2cfde4ea608cec5667c51cecae1d04 Description-en: Point Cloud Library - features library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL features library. Package: libpcl-filters1.14 Description-md5: 5dc174cffaaecba9a8e6573ea3742124 Description-en: Point Cloud Library - filters library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL filters library. Package: libpcl-io1.14 Description-md5: 32b70475a17565f461df37256996889a Description-en: Point Cloud Library - I/O library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the libraries needed to run applications depending on PCL io. Package: libpcl-kdtree1.14 Description-md5: 2b746b073bd8243924b1f76887ef7870 Description-en: Point Cloud Library - kdtree library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL kdtree library. Package: libpcl-keypoints1.14 Description-md5: 2ebd8d288fd640a31cadb9a47aea2b49 Description-en: Point Cloud Library - keypoints library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL keypoints library. Package: libpcl-ml1.14 Description-md5: 555d995717db7a2124ff109f381f6b9c Description-en: Point Cloud Library - ml library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL ml library. Package: libpcl-octree1.14 Description-md5: 3e222bdd6932ba069557aae7996aee8a Description-en: Point Cloud Library - octree library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL octree library. Package: libpcl-outofcore1.14 Description-md5: 03cc4446a707e8e13cbb22dd7978a195 Description-en: Point Cloud Library - outofcore library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the libraries needed to run applications depending on PCL outofcore. Package: libpcl-people1.14 Description-md5: d4e81c5414b8901c2263bfa3d8deea03 Description-en: Point Cloud Library - people library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the libraries needed to run applications depending on PCL people. Package: libpcl-recognition1.14 Description-md5: 7845b738fa518f105555886cf24db674 Description-en: Point Cloud Library - recognition library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the libraries needed to run applications depending on PCL recognition. Package: libpcl-registration1.14 Description-md5: 99866bb3c92cf3b4f48a9ad2a5ba3faa Description-en: Point Cloud Library - registration library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL registration library. Package: libpcl-sample-consensus1.14 Description-md5: 231c3575c742c67f65c7337736d3c42c Description-en: Point Cloud Library - sample consensus library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL sample consensus library. Package: libpcl-search1.14 Description-md5: 08d512cb4be213d02f0208aa68cfbe10 Description-en: Point Cloud Library - search library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL search library. Package: libpcl-segmentation1.14 Description-md5: d78224d9a2146191c1882e51ec9c34bb Description-en: Point Cloud Library - segmentation library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL segmentation library. Package: libpcl-stereo1.14 Description-md5: 1d394a47859d0d2b58cbaa560b3c2c06 Description-en: Point Cloud Library - stereo library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL stereo library. Package: libpcl-surface1.14 Description-md5: d3a8222ea16ee8055baf78527c964e45 Description-en: Point Cloud Library - surface library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL surface library. Package: libpcl-tracking1.14 Description-md5: 3b3ad71f463a97f672c9a544e952698c Description-en: Point Cloud Library - tracking library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL tracking library. Package: libpcl-visualization1.14 Description-md5: 0e570a53d7eb86b10ad020e182428d16 Description-en: Point Cloud Library - visualization library The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package contains the PCL visualization library. Package: libpetsc-complex-dev Description-md5: 8f875d2e33f062bf8a5090f431f3003d Description-en: Virtual package depending on latest PETSc development package PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This is a dummy package which depends on the most recent version of libpetsc-complexX-dev with complex number support (X=version). Package: libpetsc-complex3.21 Description-md5: 2227912893609b55b4c32fa898424c87 Description-en: Shared libraries for version 3.21 of PETSc with Complex Numbers PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package contains the PETSc 3.21 shared library, supporting complex numbers. . It provides soname libpetsc-complex3.21 Package: libpetsc-complex3.21-dbg Description-md5: bcfd77957b2403cf9ef2137242b4e4ae Description-en: Debugging libraries for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files and debugging libraries for debugging applications using PETSc 3.21 with complex number support. . Libraries include unstripped symbols, but this package is also built with internal PETSc debugging activated (--with-debugging=1). Package: libpetsc-complex3.21-dev Description-md5: a30e0a90df63d9866989dedc1a4b9cee Description-en: Static libraries, shared links, header files for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files for building applications using PETSc 3.21 with support for complex numbers. . Note HYPRE is not supported with PETSc complex. Package: libpetsc-real-dev Description-md5: 0825f2bf23862044ae0b2d06e81d473f Description-en: Virtual package depending on latest PETSc development package PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This is a dummy package which depends on the most recent version of libpetsc-realX-dev with real number support (X=version). Package: libpetsc-real3.21 Description-md5: d7590f60ebaa4243c333ae5ec916bffa Description-en: Shared libraries for version 3.21 of PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package contains the PETSc 3.21 shared library for real numbers. . It provides soname libpetsc-real3.21 Package: libpetsc-real3.21-dbg Description-md5: 3da18157ea641cc6e96571ef3521f67a Description-en: Debugging libraries for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files and debugging libraries for debugging applications using PETSc 3.21 with real numbers. . Libraries include unstripped symbols, but this package is also built with internal PETSc debugging activated (--with-debugging=1). Package: libpetsc-real3.21-dev Description-md5: f61eb2c7f9489882daa4427753a29459 Description-en: Static libraries, shared links, header files for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files for building applications using PETSc 3.21 with real numbers. Package: libpetsc3.21-dev-common Description-md5: 27d7bff39df64a9b298c91995c40803c Description-en: Common header and support dev files for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides header and other support files common to the various dev packages (with real or complex number support) for PETSc 3.21. Package: libpetsc3.21-dev-examples Description-md5: cce245be256136c3d51cc2bffa66be9d Description-en: Static libraries, shared links, header files for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides example, test, and tutorial scripts for PETSc 3.21. Package: libpetsc64-complex-dev Description-md5: 8e048939ed9ca0178d7c639bca3b6702 Description-en: Latest 64-bit PETSc development package with complex numbers PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This is a dummy package which depends on the most recent version of libpetsc64-complexX-dev with complex number support and 64-bit indexing (X=version). Package: libpetsc64-complex3.21 Description-md5: 4fe001224311ece0d563692f51e79134 Description-en: Shared libraries for version 3.21 of 64-bit PETSc with Complex Numbers PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package contains the PETSc 3.21 shared library, supporting complex numbers and 64-bit indexing. . It provides soname libpetsc64-complex3.21 Package: libpetsc64-complex3.21-dbg Description-md5: 680c7e29d664e768ee477dc88750181e Description-en: Debugging libraries for 64-bit PETSc with Complex Numbers PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files and debugging libraries for debugging applications using PETSc 3.21 with complex number support and 64-bit indexing. . Libraries include unstripped symbols, but this package is also built with internal PETSc debugging activated (--with-debugging=1). Package: libpetsc64-complex3.21-dev Description-md5: 9c0ae31fdfc0a18b4949106ed469715f Description-en: Development files for 64-bit PETSc with complex numbers PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files for building applications using PETSc 3.21 with support for complex numbers and 64-bit indexing. . Note HYPRE is not supported with PETSc complex. Package: libpetsc64-real-dev Description-md5: 59dd1c0daf1186a4352de59614959df7 Description-en: Virtual package depending on latest 64-bit PETSc development package PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This is a dummy package which depends on the most recent version of libpetsc64-realX-dev with real number support and 64-bit indexing (X=version). Package: libpetsc64-real3.21 Description-md5: 8165ba9db879e317e3ee22ad44d35da5 Description-en: Shared libraries for version 3.21 of 64-bit PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package contains the PETSc 3.21 shared library for real numbers and 64-bit indexing. . It provides soname libpetsc-real3.21 Package: libpetsc64-real3.21-dbg Description-md5: d6eb9a5bea4040f9a456effbf80b0eef Description-en: Debugging libraries for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files and debugging libraries for debugging applications using PETSc 3.21 with real numbers and 64-bit indexing. . Libraries include unstripped symbols, but this package is also built with internal PETSc debugging activated (--with-debugging=1). Package: libpetsc64-real3.21-dev Description-md5: 69b7bb495ce5e541b6838e4518d88005 Description-en: Static libraries, shared links, header files for 64-bit PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package provides the development files for building applications using PETSc 3.21 with real numbers and 64-bit indexing. Package: libpfs-dev Description-md5: 857f9f662fdc40b991ad669389a628df Description-en: C++ library to read and write pfs files (development files) PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. This library can be used to write custom applications that can integrate with the existing pfstools programs. . This package contains the header files and the static library. Package: libpfs2 Description-md5: 3f4fb3b66eeb1617b63ec44b7ac6de83 Description-en: C++ library to read and write pfs files PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. This library can be used to write custom applications that can integrate with the existing pfstools programs. . This package contains the shared library. Package: libpgocaml-ocaml Description-md5: 6093705cfad4057e7dd443b8a8ccbe6c Description-en: OCaml type-safe bindings for PostgreSQL (runtime) PG'OCaml provides an interface to PostgreSQL databases for OCaml applications. It uses Camlp4 to extend the OCaml syntax, enabling one to directly embed SQL statements inside the OCaml code. Moreover, it uses the describe feature of PostgreSQL to obtain type information about the database. This allows PG'OCaml to check at compile-time if the program is indeed consistent with the database structure. This type-safe database access is the primary advantage that PG'OCaml has over other PostgreSQL bindings for OCaml. . This package contains dynamically loadable plugins for PG'OCaml. Package: libpgocaml-ocaml-dev Description-md5: 8ce3441ca051da9d82af3217ad79aac9 Description-en: OCaml type-safe bindings for PostgreSQL (development) PG'OCaml provides an interface to PostgreSQL databases for OCaml applications. It uses Camlp4 to extend the OCaml syntax, enabling one to directly embed SQL statements inside the OCaml code. Moreover, it uses the describe feature of PostgreSQL to obtain type information about the database. This allows PG'OCaml to check at compile-time if the program is indeed consistent with the database structure. This type-safe database access is the primary advantage that PG'OCaml has over other PostgreSQL bindings for OCaml. . This package contains development files for PG'OCaml. Package: libpgpool-dev Description-md5: e7072bbc9ee688a1df4b094d04b278ed Description-en: pgpool control protocol library - headers pgpool-II is a middleware that works between PostgreSQL servers and a PostgreSQL database client. This package contains headers for the pgpool control protocol library (libpcp). Package: libpgpool2 Description-md5: 2cd55b5780fe90e7a4c652bb45f02e47 Description-en: pgpool control protocol library pgpool-II is a middleware that works between PostgreSQL servers and a PostgreSQL database client. This package contains the pgpool control protocol library (libpcp). Package: libphp8.3-embed Description-md5: bb653dd86e2dab4cff0e1464cdab0028 Description-en: HTML-embedded scripting language (Embedded SAPI library) This package provides the library /usr/lib/libphp8.3.so which can be used by application developers to embed PHP scripting functionality. . The following extensions are built in: Core date filter hash json libxml openssl pcntl pcre random Reflection session sodium SPL standard zlib. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. . WARNING: The embed SAPI is experimental and there's no guarantee that the API/ABI will be kept compatible even between minor releases. You have been warned. Package: libpimcommon-data Description-md5: 927c1aa26ac7bfff5ffe9859601ad678 Description-en: Common library for KDE PIM - data files This library provides the common parts used across different KDE PIM components. . This package provides the data files used by the library. Package: libpimcommon-dev Description-md5: db67b90eda72cee61ebcee919d1530ed Description-en: Common library for KDE PIM - devel files This library provides the common parts used across different KDE PIM components. . This package provides the development files. Package: libpocl-dev Description-md5: edd2fcf6dac885dd120b29e19b52fce2 Description-en: development files for the pocl library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package provides the development files for the pocl library. Unless very specific needs, this package is useless. This package is only required to link directly and explicitly with pocl. . To develop OpenCL programs (that can be run with pocl), the opencl-dev virtual package (provided by ocl-icd-opencl-dev for example) is required, not this one. Package: libpocl2-common Description-md5: 73ba37913f8df3aa9b2105db2ca31c55 Description-en: common files for the pocl library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package provides the architecture independent files of the pocl library. Package: libpocl2t64 Description-md5: aa3b27c7c5041bd439cd25028a5a5f74 Description-en: Portable Computing Language library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package provides the core of pocl. Package: libpolly-19-dev Description-md5: 840a80a0b2b70b4ddca3d4d6f53126e7 Description-en: High-level loop and data-locality optimizer Uses an abstract mathematical representation based on integer polyhedra to analyze and optimize the memory access pattern of a program Package: libpolly-20-dev Description-md5: 840a80a0b2b70b4ddca3d4d6f53126e7 Description-en: High-level loop and data-locality optimizer Uses an abstract mathematical representation based on integer polyhedra to analyze and optimize the memory access pattern of a program Package: libppx-deriving-ocaml Description-md5: 78e04260955cc64c48f886d479237c56 Description-en: type-driven code generation for OCaml (runtime files) Ppx_deriving provides common infrastructure for generating code based on type definitions, and a set of useful plugins for common tasks. . This package contains runtime files. Package: libppx-deriving-ocaml-dev Description-md5: edb671db6b10ed6c0cce6f7f80f75a61 Description-en: type-driven code generation for OCaml (dev files) Ppx_deriving provides common infrastructure for generating code based on type definitions, and a set of useful plugins for common tasks. . This package contains development files. Package: libppx-deriving-yojson-ocaml-dev Description-md5: 6ea76790282bcf2c08342200a25522a3 Description-en: OCaml syntax extension for JSON serialization Ppx-deriving-yojson is a syntax extension for the OCaml programming language. It allows you to annotate your type definitions, such that functions for serialization to JSON, and for deserialization from JSON, are automatically derived. Package: libppx-visitors-ocaml-dev Description-md5: b22173fd471aaf707ed2fcb2a3b392dd Description-en: OCaml syntax extension for object-oriented visitors Visitors is a syntax extension for the OCaml programming language. It allows you to annotate your type definitions, such that a visitor class will be automatically generated. This visitor class contains methods for the traversal of your data structure, like iter, map, fold, etc. It is then easy to override these methods for your needs. This is very convenient when programming with complex algebraic data structures. Package: libppx-visitors-ocaml-doc Description-md5: 1f9a0e76214184ea483ad1772f5e5159 Description-en: Documentation of the visitors syntax extension for OCaml This package contains the documentation of the visitors syntax extension for the OCaml programming language. Package: libpstoedit-dev Description-md5: 7c2db4b4905e6348872a01e9f7b3e78f Description-en: PostScript to editable vector graphics library (development files) pstoedit is a framework for converting Postscript and PDF files to various editable vector graphic formats. . This package contains files to build programs that use libpstoedit. Package: libpstoedit0t64 Description-md5: 059c32bbdbcd0967436aa6b72e56e2d1 Description-en: PostScript to editable vector graphics library (runtime files) pstoedit is a framework for converting Postscript and PDF files to various editable vector graphic formats. Package: libqgis-3d3.34.12 Description-md5: 12c7e46a9ba3838604ff324ef2f4b517 Description-en: QGIS - shared 3d library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared 3d library. Package: libqgis-analysis3.34.12 Description-md5: bbce9a642240ceda07f97dc929f9b3b4 Description-en: QGIS - shared analysis library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared analysis library. Package: libqgis-app3.34.12 Description-md5: 23c167da57fa2c94db3ad31618e4bca8 Description-en: QGIS - shared app library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared app library. Package: libqgis-core3.34.12 Description-md5: 088a2dda37829f9928fa200ce0e8ad40 Description-en: QGIS - shared core library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared core library. Package: libqgis-customwidgets Description-md5: d1970042c339de23134950db6dfcef02 Description-en: QGIS custom widgets for Qt Designer QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains a library to use specific QGIS widgets in Qt Designer. Package: libqgis-dev Description-md5: a1e0eb713c023b2ba12f7f4f1bc853fe Description-en: QGIS - development files QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the headers and libraries needed to develop plugins for QGIS. Package: libqgis-gui3.34.12 Description-md5: 2165ba9b4d7e41a03df30272ae0eefa8 Description-en: QGIS - shared gui library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared gui library. Package: libqgis-native3.34.12 Description-md5: 8cdea1246871e92b3436eab7f993e31a Description-en: QGIS - shared native gui library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared native gui library. Package: libqgis-server3.34.12 Description-md5: c63221150996c9c3e07765375705efb7 Description-en: QGIS - shared server library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared server library. Package: libqgisgrass8-3.34.12 Description-md5: 708140c2b18f4c8e06328e190460d96c Description-en: QGIS - shared grass library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared grass library. Package: libqgispython3.34.12 Description-md5: 9f7049c2185751c59d8a6eb264ba1338 Description-en: QGIS - shared Python library QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the shared Python library. Package: libqtpropertybrowser-dev Description-md5: 0b27dd2131dcb793652328ce1f7ad328 Description-en: Qt Property Browser Library - development A framework providing a set of graphical editors for Qt properties similar to the one used in Qt Designer. . This package contains development files needed to build applications using Qt Property Browser Package: libqtpropertybrowser5 Description-md5: de188948f9f3ab759a084b870302323d Description-en: Qt Property Browser Library - runtime A framework providing a set of graphical editors for Qt properties similar to the one used in Qt Designer. . This package contains the shared libraries needed to run applications linked against libqtpropertybrowser5. Package: librdkit-dev Description-md5: cadf4e2d9818548292d31eade9e00bca Description-en: Collection of cheminformatics and machine-learning software (development files) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the header files. Package: librdkit1t64 Description-md5: f3b5688fc64de582110322df8c0d19da Description-en: Collection of cheminformatics and machine-learning software (shared libraries) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the shared libraries. Package: librhino-java Description-md5: 56304b110a7e11df7b042a8bec6b6f22 Description-en: Libraries for rhino Java Script Engine Rhino is an implementation of the JavaScript language written entirely in Java. It is typically embedded into Java applications to provide scripting to end users. . This package contains only Java library and no interpreter. For Rhino interpreter, you install rhino package. Package: libruby3.1t64 Description-md5: 66e37af89f1234cdbe6ad1ecbc869d44 Description-en: Libraries necessary to run Ruby 3.1 Ruby is the interpreted scripting language for quick and easy object-oriented programming. It has many features to process text files and to do system management tasks (as in perl). It is simple, straight-forward, and extensible. . This package includes the 'libruby-3.1' library, necessary to run Ruby 3.1. (API version 3.1.0) Package: librust-adblock-dev Description-md5: 5f7a10e04be895665cc826559fceaa4a Description-en: native Rust module for Adblock Plus syntax - Rust source code EasyList, EasyPrivacy) filter parsing and matching. . Source code for Debianized Rust crate "adblock" Package: librust-alacritty-terminal-dev Description-md5: d47860122866cc1404131c6edf85cc77 Description-en: Writing terminal emulators - Rust source code Source code for Debianized Rust crate "alacritty_terminal" Package: librust-aom-sys-dev Description-md5: c4a0ca2d2f231ed94b12559a933faa29 Description-en: FFI bindings to aom - Rust source code Source code for Debianized Rust crate "aom-sys" Package: librust-arboard-dev Description-md5: 11b2d289cbd5f43a1f871162717b5ecd Description-en: Image and text handling for the OS clipboard - Rust source code Source code for Debianized Rust crate "arboard" Package: librust-asn1-rs-derive-dev Description-md5: 7fb15bdfa9b3f5f631c9de4b180e8ab3 Description-en: Derive macros for the `asn1-rs` crate - Rust source code Source code for Debianized Rust crate "asn1-rs-derive" Package: librust-async-h1-dev Description-md5: d7e3bbbaee06b76acce3ea52c827962c Description-en: Asynchronous HTTP 1.1 parser - Rust source code Source code for Debianized Rust crate "async-h1" Package: librust-axum-core-dev Description-md5: b392ea96cdb46906274e394fb39eb946 Description-en: core types and traits for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-core, for use with cargo. Package: librust-axum-dev Description-md5: d84c6c7f8d0787e1d58404074107aa36 Description-en: ergonomic and modular web framework - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum, for use with cargo. Package: librust-axum-extra-dev Description-md5: 1b0ba7257a7d6b6be07ecd9d209f783e Description-en: extra utilities for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-extra, for use with cargo. Package: librust-axum-macros-dev Description-md5: 2a36e3388eed8dd879edaca3389693dd Description-en: macros for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-macros, for use with cargo. Package: librust-base64-dev Description-md5: 32fd475be71ad568067a575a587f286c Description-en: Encodes and decodes base64 as bytes or utf8 - Rust source code Source code for Debianized Rust crate "base64" Package: librust-bat-dev Description-md5: ad07f1ccda62a905bf9c50826c538f39 Description-en: Cat(1) clone with wings - Rust source code Source code for Debianized Rust crate "bat" Package: librust-bigdecimal-dev Description-md5: f7e03c716b8e0e437cce574f28c14752 Description-en: Arbitrary precision decimal numbers - Rust source code Source code for Debianized Rust crate "bigdecimal" Package: librust-bindgen-dev Description-md5: 0f5047fc164db07d00115bf53f4b28a8 Description-en: Automatically generates Rust FFI bindings to C and C++ libraries - Rust source code Source code for Debianized Rust crate "bindgen" Package: librust-breezyshim-dev Description-md5: e9c71818fbf2d1c3254b7edd221049a8 Description-en: Rust shim around the Breezy Python API - Rust source code Source code for Debianized Rust crate "breezyshim" Package: librust-bson-dev Description-md5: 6edb2b6fd8a01a358f0a72b23646adc7 Description-en: Encoding and decoding support for BSON in Rust - Rust source code Source code for Debianized Rust crate "bson" Package: librust-calloop-0.10+futures-io-dev Description-md5: 44c7e9b76d0f10a66bb8b64c33e7b66a Description-en: Callback-based event loop - feature "futures-io" This metapackage enables feature "futures-io" for the Rust calloop crate, by pulling in any additional dependencies needed by that feature. Package: librust-calloop-0.10+futures-util-dev Description-md5: 6de07174f654c995fc49fe7035e14da7 Description-en: Callback-based event loop - feature "futures-util" and 1 more This metapackage enables feature "futures-util" for the Rust calloop crate, by pulling in any additional dependencies needed by that feature. . Additionally, this package also provides the "executor" feature. Package: librust-calloop-0.10-dev Description-md5: a3d0f592e2355f07e124f7c762e056bc Description-en: Callback-based event loop - Rust source code Source code for Debianized Rust crate "calloop" Package: librust-capstone-sys-dev Description-md5: 5b67de24fe92f1b4a207aca3c3437043 Description-en: System bindings to the capstone disassembly library - Rust source code Source code for Debianized Rust crate "capstone-sys" Package: librust-cargo-dev Description-md5: 5fcbd48f38ae3ab8b1040883b508432f Description-en: Package manager for Rust - Rust source code Source code for Debianized Rust crate "cargo" Package: librust-charset-dev Description-md5: 9cfb80fc55be72b3e19efc1a35b31081 Description-en: Thunderbird-compatible character encoding decoding for email - Rust source code Source code for Debianized Rust crate "charset" Package: librust-ciborium-ll-dev Description-md5: 3d41f77b223c25605303bfc497e2a6cb Description-en: Low-level CBOR codec primitives - Rust source code Source code for Debianized Rust crate "ciborium-ll" Package: librust-cntr-dev Description-md5: 0d25d5403619b99e18107e7750e9f987 Description-en: Container debugging tool based on FUSE - Rust source code Source code for Debianized Rust crate "cntr" Package: librust-command-group-dev Description-md5: 98ebdefa5e9644726ccffbf8ed4272c7 Description-en: Extension to Command to spawn in a process group - Rust source code Source code for Debianized Rust crate "command-group" Package: librust-compiletest-rs-dev Description-md5: 6a8e8593014b3e4c771e3b3a06f90c37 Description-en: Compiletest utility from the Rust compiler as a standalone testing harness - Rust source code Source code for Debianized Rust crate "compiletest_rs" Package: librust-copypasta-ext-dev Description-md5: 01f6a12f9c065a46fa1f7c0eb368bbb3 Description-en: Clipboard library providing useful extensions for copypasta - Rust source code Source code for Debianized Rust crate "copypasta-ext" Package: librust-cradle-dev Description-md5: a1f283c95c67dcacd6fa3ffa87823e4b Description-en: Execute child processes with ease - Rust source code Source code for Debianized Rust crate "cradle" Package: librust-crates-io-dev Description-md5: 12f8a38e4572157263b210e3767f8f9a Description-en: Helpers for interacting with crates.io - Rust source code Source code for Debianized Rust crate "crates-io" Package: librust-criterion-dev Description-md5: 831682fb862e1c68d99b07dab1e8ebb0 Description-en: statistics-driven micro-benchmarking - Rust source code Criterion.rs helps you write fast Rust code by detecting and measuring performance improvements or regressions, even small ones, quickly and accurately. You can optimize with confidence, knowing how each change affects the performance of your code. . This package contains the source for the Rust criterion crate, for use with cargo. Package: librust-ctrlc-dev Description-md5: bb005b94a6183d806d54409637f2e346 Description-en: Easy Ctrl-C handler for Rust projects - Rust source code Source code for Debianized Rust crate "ctrlc" Package: librust-deb822-lossless-dev Description-md5: 79c586fccc568f3cb9c3a5762d704c79 Description-en: Lossless parser for deb822 files - Rust source code Source code for Debianized Rust crate "deb822-lossless" Package: librust-debcargo-dev Description-md5: e3d5b919249739cbd1a2875b20d4c69f Description-en: Create a Debian package from a Cargo crate - Rust source code Source code for Debianized Rust crate "debcargo" Package: librust-debian-analyzer-dev Description-md5: cc735915a0f511c16cc56656e463b1d2 Description-en: Debian analyzer - Rust source code Source code for Debianized Rust crate "debian-analyzer" Package: librust-debian-changelog-dev Description-md5: 93666bd05f2b4133966027f87e3483d0 Description-en: Parser for Debian changelog files - Rust source code Source code for Debianized Rust crate "debian-changelog" Package: librust-debian-control-dev Description-md5: 8b6c6be49d3b9025aa00dee6cb2f09cd Description-en: Parser for Debian copyright files - Rust source code Source code for Debianized Rust crate "debian-control" Package: librust-debian-watch-dev Description-md5: 4840e7c8f793cbc8bb8f1d6661eaeabc Description-en: Parser for Debian watch files - Rust source code Source code for Debianized Rust crate "debian-watch" Package: librust-debversion-dev Description-md5: 2065c676f4c00b84d26a31975d2fb72f Description-en: Debian version parsing, manipulation and comparison - Rust source code Source code for Debianized Rust crate "debversion" Package: librust-derive-more-dev Description-md5: a05827db56a93002b1c9dc8b38b23e71 Description-en: Adds #[derive(x)] macros for more traits - Rust source code Source code for Debianized Rust crate "derive_more" Package: librust-diesel-dev Description-md5: 1994ba7e917115b91a8a2a457127ccb3 Description-en: Safe and extensible ORM and Query Builder - Rust source code Source code for Debianized Rust crate "diesel" Package: librust-dockworker-dev Description-md5: c0099da15cc1850aa5b7afdd0b1640b1 Description-en: Docker daemon API client - Rust source code (a fork of Faraday's boondock) . Source code for Debianized Rust crate "dockworker" Package: librust-dolby-vision-dev Description-md5: 8057cd20a4b0ce244769ca2ed579d44b Description-en: Dolby Vision metadata parsing and writing - Rust source code Source code for Debianized Rust crate "dolby_vision" Package: librust-drm-fourcc-dev Description-md5: 2d90e93ef0415418ccd10ea68f7368db Description-en: Provides an enum with every valid Direct Rendering Manager (DRM) format fourcc - Rust source code Source code for Debianized Rust crate "drm-fourcc" Package: librust-drm-sys-dev Description-md5: 542dd83aaf3437fbbd0af5af196a6119 Description-en: Bindings to the Direct Rendering Manager API - Rust source code Source code for Debianized Rust crate "drm-sys" Package: librust-embed-doc-image-dev Description-md5: 9c3141b4d7f6447d9bcb0de4431df5e0 Description-en: Embed images in Rust documentation - Rust source code Source code for Debianized Rust crate "embed-doc-image" Package: librust-erbium-net-dev Description-md5: 8bc33a2ef884e29ae77867b2ddaeabe3 Description-en: Network services for small/home networks - Low level networking abstractions - Rust source code Source code for Debianized Rust crate "erbium-net" Package: librust-exacl-dev Description-md5: fae4cd05883e6f3aab4af089ef1ac8ba Description-en: Manipulate file system access control lists (ACL) on macOS, Linux, and FreeBSD - Rust source code Source code for Debianized Rust crate "exacl" Package: librust-eza-dev Description-md5: 99f922f82a57892632db3ea4e836c784 Description-en: Modern replacement for ls - Rust source code Source code for Debianized Rust crate "eza" Package: librust-font-kit-dev Description-md5: 4b41b9f405846ccf21958c2bd2822be8 Description-en: Cross-platform font loading library - Rust source code Source code for Debianized Rust crate "font-kit" Package: librust-freetype-dev Description-md5: 1fa5e730da5335b5c1b42fe13fcbcc93 Description-en: Bindings for Freetype used by Servo - Rust source code Source code for Debianized Rust crate "freetype" Package: librust-freetype-rs-dev Description-md5: 5369eb3244b385b3f07977fbd3a4be6d Description-en: Bindings for FreeType font library - Rust source code Source code for Debianized Rust crate "freetype-rs" Package: librust-freetype-sys-dev Description-md5: dcfab28c59ca72c8876c32e2a8907fba Description-en: Low level binding for FreeType font library - Rust source code Source code for Debianized Rust crate "freetype-sys" Package: librust-fts-sys-dev Description-md5: 06a8e39ef5001f68e2be3adbb69963df Description-en: File hierarchy traversal functions (FTS) - Rust source code Source code for Debianized Rust crate "fts-sys" Package: librust-futures-channel-dev Description-md5: 1727d7828f1e78e60eaa300f5a043c9b Description-en: Channels for asynchronous communication using futures-rs - Rust source code Source code for Debianized Rust crate "futures-channel" Package: librust-futures-core-dev Description-md5: 4ee42665159e1ae9b7b339665e32c260 Description-en: Core traits and types in for the `futures` library - Rust source code Source code for Debianized Rust crate "futures-core" Package: librust-futures-executor-dev Description-md5: 539e90eaf46709cf7147ff722424ed35 Description-en: Executors for asynchronous tasks based on the futures-rs library - Rust source code Source code for Debianized Rust crate "futures-executor" Package: librust-futures-macro-dev Description-md5: 87fe1c4c338c7068a2ed50611bca3edf Description-en: Futures-rs procedural macro implementations - Rust source code Source code for Debianized Rust crate "futures-macro" Package: librust-futures-task-dev Description-md5: 29110af2788b77dbfc813daab8351d05 Description-en: Tools for working with tasks - Rust source code Source code for Debianized Rust crate "futures-task" Package: librust-futures-test-dev Description-md5: 97249e2179a70f5a34ea16a0cb947088 Description-en: Common utilities for testing components built off futures-rs - Rust source code Source code for Debianized Rust crate "futures-test" Package: librust-futures-util-dev Description-md5: 11af644094c4242852607920d1bebf2b Description-en: Common utilities for the futures-rs library - Rust source code - Rust source code Source code for Debianized Rust crate "futures-util" Package: librust-git-absorb-dev Description-md5: d1983a07ec95da5d2a840418fa08b7e6 Description-en: Git commit --fixup, but automatic - Rust source code Source code for Debianized Rust crate "git-absorb" Package: librust-git2+default-dev Description-md5: 9f3df519a32eb7a03c60b4aefe41ce14 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "default" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "default" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2+https-dev Description-md5: 09f3ed4205589e1be2d74efdc5af5bb6 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "https" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "https" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2+openssl-probe-dev Description-md5: 62d6dc9d20611eafa3a6f42aa8d28786 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "openssl-probe" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "openssl-probe" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2+openssl-sys-dev Description-md5: f74a98cbb9808b56292f0d8eba816d03 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "openssl-sys" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "openssl-sys" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2+ssh-dev Description-md5: 2328fe9b5d5eb540ee69f4e80819ad47 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "ssh" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "ssh" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2+ssh-key-from-memory-dev Description-md5: d886702357c57b5db5b2283383ed6047 Description-en: Bindings to libgit2 for interoperating with git repositories - feature "ssh_key_from_memory" This library is both threadsafe and memory safe and allows both reading and writing git repositories. . This metapackage enables feature "ssh_key_from_memory" for the Rust git2 crate, by pulling in any additional dependencies needed by that feature. Package: librust-git2-curl-dev Description-md5: fd79666c77cdfea765b1c3adc6ac584e Description-en: Backend for an HTTP transport in libgit2 powered by libcurl - Rust source code Intended to be used with the git2 crate. . Source code for Debianized Rust crate "git2-curl" Package: librust-git2-dev Description-md5: 4a657e3ca9d8481f429657b79b32d4b7 Description-en: Bindings to libgit2 for interoperating with git repositories - Rust source code This library is both threadsafe and memory safe and allows both reading and writing git repositories. . Source code for Debianized Rust crate "git2" Package: librust-gix-archive-dev Description-md5: 17882101dc100f4791c2335b709055dd Description-en: Archive generation from of a worktree stream - Rust source code Source code for Debianized Rust crate "gix-archive" Package: librust-gix-config-dev Description-md5: 4a51c04fdff108d296b9b7fc439b0684 Description-en: Git-config file parser and editor from the gitoxide project - Rust source code Source code for Debianized Rust crate "gix-config" Package: librust-gix-dev Description-md5: 4c5167edfbde509d6d556ba8ff9e711e Description-en: Interact with git repositories just like git would - Rust source code Source code for Debianized Rust crate "gix" Package: librust-gix-diff-dev Description-md5: 6ee1fd8e2963a73930f8433c1cdc3c38 Description-en: Calculate differences between various git objects - Rust source code Source code for Debianized Rust crate "gix-diff" Package: librust-gix-dir-dev Description-md5: b73889d0fd2c377eb5912b61e788af09 Description-en: The gitoxide project dealing with directory walks - Rust source code Source code for Debianized Rust crate "gix-dir" Package: librust-gix-discover-dev Description-md5: 782dadcc88dc723e7eb42fe934ab9afd Description-en: Discover git repositories and check if a directory is a git repository - Rust source code Source code for Debianized Rust crate "gix-discover" Package: librust-gix-fs-dev Description-md5: 09ca124cceea5be4eeb9ab571230402b Description-en: Crate providing file system specific utilities to `gitoxide` - Rust source code Source code for Debianized Rust crate "gix-fs" Package: librust-gix-index-dev Description-md5: f2db0d9f0e1883983008ac8b96044431 Description-en: Work-in-progress crate of the gitoxide project dedicated implementing the git index file - Rust source code Source code for Debianized Rust crate "gix-index" Package: librust-gix-lock-dev Description-md5: 039a9a4913700b91fdbb6b14afa478e1 Description-en: Git-style lock-file implementation - Rust source code Source code for Debianized Rust crate "gix-lock" Package: librust-gix-odb-dev Description-md5: b5b6eb347dab8309c66b0375a2ed71ac Description-en: Implements various git object databases - Rust source code Source code for Debianized Rust crate "gix-odb" Package: librust-gix-pack-dev Description-md5: 0aea60a89cab86fcecab2ae1b2eadcba Description-en: Implements git packs and related data structures - Rust source code Source code for Debianized Rust crate "gix-pack" Package: librust-gix-ref-dev Description-md5: c73611d3a4cabecae8bde1700447cd17 Description-en: Handle git references - Rust source code Source code for Debianized Rust crate "gix-ref" Package: librust-gix-status-dev Description-md5: 3fba25ca86a9cd698797dfd035bfe648 Description-en: The gitoxide project dealing with 'git status'-like functionality - Rust source code Source code for Debianized Rust crate "gix-status" Package: librust-gix-submodule-dev Description-md5: 1cf91585dc7862d38c1deea19c38c7da Description-en: The gitoxide project dealing git submodules - Rust source code Source code for Debianized Rust crate "gix-submodule" Package: librust-gix-tempfile-dev Description-md5: 244c556dcadc4df1b6e6b19b6bf4b4a2 Description-en: Tempfile implementation with a global registry to assure cleanup - Rust source code Source code for Debianized Rust crate "gix-tempfile" Package: librust-gix-worktree-dev Description-md5: 371f8193bd4a1c2ee70dd81e05013766 Description-en: The gitoxide project for shared worktree related types and utilities - Rust source code Source code for Debianized Rust crate "gix-worktree" Package: librust-gix-worktree-state-dev Description-md5: 3880a22959008ffb35f3c03c3d04e952 Description-en: The gitoxide project implementing setting the worktree to a particular state - Rust source code Source code for Debianized Rust crate "gix-worktree-state" Package: librust-gix-worktree-stream-dev Description-md5: 8ab47371e46a8be65726f3ef0f0a8262 Description-en: Generate a byte-stream from a git-tree - Rust source code Source code for Debianized Rust crate "gix-worktree-stream" Package: librust-glycin-utils-dev Description-md5: a1d183a417897439140ffdc34a06299a Description-en: Sandboxed image decoding - Rust source code Source code for Debianized Rust crate "glycin-utils" Package: librust-grcov-dev Description-md5: 4e64812b6aa08782a5a7da2648d5ac90 Description-en: Collect and aggregate code coverage data for multiple source files - Rust source code Source code for Debianized Rust crate "grcov" Package: librust-half-dev Description-md5: 5835de554cd15dfe127b990baf6e7a05 Description-en: Half-precision floating point f16 and bf16 types for Rust implementing the IEEE 754-2008 standard binary16 and bfloat16 types - Rust source code Source code for Debianized Rust crate "half" Package: librust-headers-dev Description-md5: a4b82d8ee9bed4973c456041b69bff33 Description-en: Typed HTTP headers - Rust source code Source code for Debianized Rust crate "headers" Package: librust-http-auth-dev Description-md5: 88929ffd812f4ad8f73839da2aaef499 Description-en: HTTP authentication: parse challenge lists, respond to Basic and Digest challenges - Rust source code Likely to be extended with server support and additional auth schemes. . Source code for Debianized Rust crate "http-auth" Package: librust-http-types-dev Description-md5: 73ed514f2e175431c1f4b966170ffd1c Description-en: Common types for HTTP operations - Rust source code Source code for Debianized Rust crate "http-types" Package: librust-image-dev Description-md5: 1c878cec349cda376c3a396c8bbe8730 Description-en: Imaging library - Rust source code Provides basic image processing and encoders/decoders for common image formats. . Source code for Debianized Rust crate "image" Package: librust-image-hasher-dev Description-md5: baa86db544efe3ca383565df34607900 Description-en: Simple library that provides perceptual hashing and difference calculation for images - Rust source code Source code for Debianized Rust crate "image_hasher" Package: librust-imagepipe-dev Description-md5: ec78b0cf1207dbdbd549d004a9671629 Description-en: Image processing pipeline - Rust source code Source code for Debianized Rust crate "imagepipe" Package: librust-imap-client-dev Description-md5: 79c83f617ecb06a16e46ff59f294a2f7 Description-en: library to manage IMAP clients - Rust source code imap-client is a Rust library to manage IMAP clients. . This package contains the source for the Rust imap-client crate, packaged for use with cargo. Package: librust-imap-codec-dev Description-md5: 4e7fccbb518c9b40953732661a145eea Description-en: rock-solid and complete codec for IMAP - Rust source code The imap-codec library provides parsing and serialization for IMAP4rev1 implementations. It is based on imap-types and a rock-solid and well-documented building block for IMAP client and server implementations in Rust. The complete formal syntax of IMAP4rev1 and several IMAP extensions are implemented. . This package contains the source for the Rust imap-codec crate, for use with cargo. Package: librust-imap-next-dev Description-md5: 4879d4a30dcfb104a7ddd8b84de73245 Description-en: thin abstraction over IMAP protocol flows - Rust source code imap-next is a thin sans I/O abstraction over IMAP's distinct protocol flows. These are literal handling, AUTHENTICATE, and IDLE. . This package contains the source for the Rust imap-next crate, for use with cargo. Package: librust-imap-types-dev Description-md5: 41949117d17882c6b027ca9feed05b89 Description-en: misuse-resistant data structures for IMAP - Rust source code The imap-types crate provides a complete set of well-designed, misuse-resistant types for the IMAP4rev1 protocol and various extensions. Notably, it does *not* provide parsers, nor serializers, but tries to become the "standard library" for IMAP in Rust that is useful for a broad range of crates. . This package contains the source for the Rust imap-types crate, for use with cargo. Package: librust-infer-dev Description-md5: 891f65777e46fad2269825852777e698 Description-en: Small crate to infer file type based on magic number signatures - Rust source code Source code for Debianized Rust crate "infer" Package: librust-input-linux-dev Description-md5: 4cbf6909d8ead1168fab19c2fd8fe437 Description-en: Evdev and uinput - Rust source code Source code for Debianized Rust crate "input-linux" Package: librust-input-linux-sys-dev Description-md5: e59b35ecb57696909f4854ee4fe98165 Description-en: Bindings for - Rust source code Source code for Debianized Rust crate "input-linux-sys" Package: librust-input-sys-dev Description-md5: b2746f8092cb00eeececd41e3a78b1cf Description-en: Bindgen generated unsafe libinput wrapper - Rust source code Source code for Debianized Rust crate "input-sys" Package: librust-itertools-dev Description-md5: 6faedd6262a2375e7374f9b4e46ed81b Description-en: Extra iterator adaptors, iterator methods, free functions, and macros - Rust source code Source code for Debianized Rust crate "itertools" Package: librust-jpegxl-rs-dev Description-md5: b63f6efb9ef96110c66aae6d2cf292f1 Description-en: Safe Rust wrapper for JPEG XL reference implementation - Rust source code Source code for Debianized Rust crate "jpegxl-rs" Package: librust-jsonwebtoken-dev Description-md5: 16801719566201b42aecbbe8afb54dae Description-en: Create and decode JWTs in a strongly typed way - Rust source code Source code for Debianized Rust crate "jsonwebtoken" Package: librust-leptonica-plumbing-dev Description-md5: 2d5657a919b85a4914063df9dfb518fb Description-en: safe wrapper of leptonica-sys - Rust source code Leptonica-plumbing exposes a safe version of the leptonica-sys api, to access the C API of leptonica in a safe manner. . This package contains the source for the Rust leptonica-plumbing crate, packaged for use with cargo and dh-cargo. Package: librust-libbpf-sys-dev Description-md5: 831381731c550bd81507384ecb39e674 Description-en: Rust bindings to libbpf from the Linux kernel - Rust source code Source code for Debianized Rust crate "libbpf-sys" Package: librust-libc-dev Description-md5: 3c61fd241aab894707118b789d581fd6 Description-en: Rust bindings to libc - Rust source code Source code for Debianized Rust crate "libc" Package: librust-libgit2-sys-dev Description-md5: 3ffebdfc72ac11ece6583860901bb2a3 Description-en: Native bindings to the libgit2 library - Rust source code Source code for Debianized Rust crate "libgit2-sys" Package: librust-libraw-rs-sys-dev Description-md5: d09b91f197239399d8868880e7b610dc Description-en: FFI bindings to LibRaw - Rust source code Source code for Debianized Rust crate "libraw-rs-sys" Package: librust-librsvg-dev Description-md5: 16d4338c9ecc83f916d3e1725eb1070d Description-en: Render SVG images to Cairo surfaces - Rust source code GNOME uses this to render SVG icons. Outside of GNOME, other desktop environments use it for similar purposes. Wikimedia uses it for Wikipedia's SVG diagrams. . Source code for Debianized Rust crate "librsvg" Package: librust-libspa-sys-dev Description-md5: a0027abe74c92e9f97d9e0f44be7b03e Description-en: Rust FFI bindings for libspa - Rust source code Source code for Debianized Rust crate "libspa-sys" Package: librust-lmdb-master-sys-dev Description-md5: 34d6a293b55297a58013777fa351c605 Description-en: Rust bindings for liblmdb on the mdb.master branch - Rust source code Source code for Debianized Rust crate "lmdb-master-sys" Package: librust-loopdev-dev Description-md5: e0a1d91295f4c86d12bd413a7b68dfca Description-en: Setup and control loop devices - Rust source code Source code for Debianized Rust crate "loopdev" Package: librust-lopdf-dev Description-md5: be86351db81d6323a6820bddd62ab015 Description-en: PDF document manipulation - Rust source code Source code for Debianized Rust crate "lopdf" Package: librust-makefile-lossless-dev Description-md5: c42ba2689372a0e9a5301ffbb1b2616e Description-en: Lossless Parser for Makefiles - Rust source code Source code for Debianized Rust crate "makefile-lossless" Package: librust-mozim-dev Description-md5: 1aaf912c40aa589135307d01cc01b9b6 Description-en: DHCP Client Library - Rust source code Source code for Debianized Rust crate "mozim" Package: librust-mpris-server-dev Description-md5: 833ba07f0a019a8997696759cc11fd40 Description-en: Implement MPRIS D-Bus interface in your application - Rust source code Source code for Debianized Rust crate "mpris-server" Package: librust-nettle-sys-dev Description-md5: 860df4e736569a7a58e4020debd9e848 Description-en: Low-level Rust bindings for the Nettle cryptographic library - Rust source code Source code for Debianized Rust crate "nettle-sys" Package: librust-nix-dev Description-md5: 83e13593f82e195b62da1613c846549b Description-en: Rust friendly bindings to *nix APIs - Rust source code Source code for Debianized Rust crate "nix" Package: librust-notify-rust-dev Description-md5: b1f79e2f7767ba308466bf1cb52e5d45 Description-en: show desktop notifications - Rust source code notify-rust is a library for displaying desktop notifications. . This package contains the source for the Rust notify-rust crate, packaged for use with cargo. Package: librust-oauth2-dev Description-md5: 1bdd6b280355411c3bd22bdb3e779abb Description-en: Extensible, strongly-typed implementation of OAuth2 - Rust source code Source code for Debianized Rust crate "oauth2" Package: librust-octocrab-dev Description-md5: 5d76196a37763b7dcbdaf5894bef2500 Description-en: Modern, extensible GitHub API client - Rust source code Source code for Debianized Rust crate "octocrab" Package: librust-opendal-dev Description-md5: 09f72c18497bdc3687cc61c3392e95df Description-en: Apache OpenDAL™: Access data freely, painlessly, and efficiently - Rust source code Source code for Debianized Rust crate "opendal" Package: librust-openpgp-card-rpgp-dev Description-md5: 42e6c8eb3b817df1d129c8e5a83db661 Description-en: Companion crate for using openpgp-card with rPGP - Rust source code Source code for Debianized Rust crate "openpgp-card-rpgp" Package: librust-openssh-keys-dev Description-md5: 7bd4a89e01b6c1c805cb9d7517ef743b Description-en: Read and write OpenSSH public keys - Rust source code Source code for Debianized Rust crate "openssh-keys" Package: librust-parsec-service-dev Description-md5: 9d77142927d260e89cead244caf11931 Description-en: Abstraction layer for secure storage and operations - Rust source code Source code for Debianized Rust crate "parsec-service" Package: librust-parsec-tool-dev Description-md5: 71055332884d97da6ecee879e6a529b3 Description-en: Command line tool to communicate with the Parsec service - Rust source code Source code for Debianized Rust crate "parsec-tool" Package: librust-pdf-dev Description-md5: ec99630bb308351a07470494acb0e2e6 Description-en: PDF reader - Rust source code Source code for Debianized Rust crate "pdf" Package: librust-pem-dev Description-md5: 93d4169fe92062fda3b06a2297e80952 Description-en: Parse and encode PEM-encoded data - Rust source code Source code for Debianized Rust crate "pem" Package: librust-pep440-rs-dev Description-md5: ea4c6ecb0ae96419ff0cf6f80784e255 Description-en: Python version numbers and specifiers, implementing PEP 440 - Rust source code Source code for Debianized Rust crate "pep440_rs" Package: librust-pgp-dev Description-md5: f057f7829aaf8ce0584aa97fc756e6d9 Description-en: OpenPGP implementation in Rust - Rust source code rPGP is a pure Rust implementation of OpenPGP, following RFCs 9580, 4880, 2440, and 6637. . rPGP offers a flexible low-level API and gives users the ability to build higher level PGP tooling in the most compatible way possible. Additionally, it fully supports all functionality required by the Autocrypt 1.1 e-mail encryption specification. Source code for Debianized Rust crate "pgp" Package: librust-pipewire-dev Description-md5: 1741885c5fd230e36d2b63b17bc861f3 Description-en: Rust bindings for PipeWire - Rust source code Source code for Debianized Rust crate "pipewire" Package: librust-plotters-bitmap-dev Description-md5: 66d95e70672dc3ea4a1a0f668bc240d1 Description-en: Plotters Bitmap Backend - Rust source code Source code for Debianized Rust crate "plotters-bitmap" Package: librust-plotters-dev Description-md5: b4843c7c7d5039bc665dec1ef08bd2ec Description-en: Rust data plotting and drawing library - Rust source code Source code for Debianized Rust crate "plotters" Package: librust-plotters-svg-dev Description-md5: 8d5c1a524f2cf78c07ebaffc5d1dbf44 Description-en: Plotters SVG backend - Rust source code Source code for Debianized Rust crate "plotters-svg" Package: librust-postgres-protocol-dev Description-md5: c2de6f5bd4bfaab5791981225a678b24 Description-en: Low level Postgres protocol APIs - Rust source code Source code for Debianized Rust crate "postgres-protocol" Package: librust-psa-crypto-sys-dev Description-md5: d87af3ae556902522f55b54648fda452 Description-en: Wrapper around the PSA Cryptography API - Rust source code Source code for Debianized Rust crate "psa-crypto-sys" Package: librust-qrcode-dev Description-md5: af1447db21910a243c4d4523138695ab Description-en: QR code encoder in Rust - Rust source code Source code for Debianized Rust crate "qrcode" Package: librust-qrcode-generator-dev Description-md5: b5fbafc7d55dfde22e5dd18da34e2ea9 Description-en: Generate QR Code matrices and images in RAW, PNG and SVG formats - Rust source code Source code for Debianized Rust crate "qrcode-generator" Package: librust-qrencode-dev Description-md5: e7c1d18bf419de39675569a53ed27f62 Description-en: QR code encoder in Rust - Rust source code Source code for Debianized Rust crate "qrencode" Package: librust-ratatui-dev Description-md5: 2862e3e1fec174f85b28e6ce0eb27f2e Description-en: Library that's all about cooking up terminal user interfaces - Rust source code Source code for Debianized Rust crate "ratatui" Package: librust-rb-sys-build-dev Description-md5: 002361191805b1a74b14bc1ac0d23785 Description-en: Build system for rb-sys - Rust source code Source code for Debianized Rust crate "rb-sys-build" Package: librust-ron-dev Description-md5: 51cf31c86977da443e65e3d8ad6351a8 Description-en: Rusty Object Notation - Rust source code Source code for Debianized Rust crate "ron" Package: librust-rowan-dev Description-md5: a7d77efdb4f8d49db640667e50a022a4 Description-en: Generic lossless syntax trees - Rust source code Source code for Debianized Rust crate "rowan" Package: librust-rpgpie-dev Description-md5: 5f6f751130edf0ab3f276713a3f713de Description-en: Experimental high level API for rPGP - Rust source code Source code for Debianized Rust crate "rpgpie" Package: librust-rpgpie-sop-dev Description-md5: 8ff924cba16037f4c8db4ffc288ee354 Description-en: Experimental SOP implementation based on rpgpie - Rust source code rpgpie-sop is a very thin wrapper on top of rpgpie, implementing the excellent sop (Stateless OpenPGP) Rust interface. It is used to build the rsop Command Line Interface (CLU) tool, which implements the Stateless OpenPGP CLI. Source code for Debianized Rust crate "rpgpie-sop" Package: librust-ruma-common-dev Description-md5: 5632d741f701f25be26081650d9863ba Description-en: Common types for other ruma crates - Rust source code Source code for Debianized Rust crate "ruma-common" Package: librust-rust-argon2-dev Description-md5: a60ecfd5a785030d84414aea011a8f01 Description-en: The Argon2 password hashing function - Rust source code Source code for Debianized Rust crate "rust-argon2" Package: librust-rustfix-dev Description-md5: a33ca17eccf4a8bc26781f64267b77a3 Description-en: Automatically apply the suggestions made by rustc - Rust source code Source code for Debianized Rust crate "rustfix" Package: librust-rustix-dev Description-md5: a4e80b98e3c172d9453f8a19505dda71 Description-en: Safe Rust bindings to POSIX/Unix/Linux/Winsock-like syscalls - Rust source code Source code for Debianized Rust crate "rustix" Package: librust-rusty-paserk-dev Description-md5: 113783aace042d204e3403f95c6132ef Description-en: Platform Agnostic Serializable Keys (PASERK) is an extension on PASETO for key management - Rust source code Source code for Debianized Rust crate "rusty_paserk" Package: librust-rusty-paseto-dev Description-md5: a1d94ff4bdfedd2c672f84fe281784c4 Description-en: Rust implementation of PASETO tokens - source code Source code for Debianized Rust crate "rusty_paseto" Package: librust-rustyline-dev Description-md5: d3639cdec82fadef50149fbb1d2d5290 Description-en: Readline implementation based on Linenoise - Rust source code Source code for Debianized Rust crate "rustyline" Package: librust-schemars-derive-dev Description-md5: aa3fc1e48bf34a94e4f1ba0cc15ea562 Description-en: Macros for #[derive(JsonSchema)], for use with schemars - Rust source code Source code for Debianized Rust crate "schemars_derive" Package: librust-schemars-dev Description-md5: 586ae93848805299852596e82d09374c Description-en: Generate JSON Schemas from Rust code - Rust source code Source code for Debianized Rust crate "schemars" Package: librust-selinux-sys-dev Description-md5: b966e2965463b4fd7d4fab0b9026cbb4 Description-en: Flexible Mandatory Access Control (MAC) for Linux - Rust source code Source code for Debianized Rust crate "selinux-sys" Package: librust-sequoia-autocrypt-dev Description-md5: ad26750e1bb463f6dc9f54a14f419d3a Description-en: Autocrypt support - Rust source code Source code for Debianized Rust crate "sequoia-autocrypt" Package: librust-sequoia-net-dev Description-md5: 5738dc5a853193b29d9d5418c8cafa0a Description-en: Network services for OpenPGP - Rust source code Source code for Debianized Rust crate "sequoia-net" Package: librust-sequoia-openpgp-dev Description-md5: c557d34e629e92e231570f1740df9e61 Description-en: OpenPGP data types and associated machinery - Rust source code Source code for Debianized Rust crate "sequoia-openpgp" Package: librust-serde-cbor-dev Description-md5: c769a45232777e0ef73ff53945532e58 Description-en: CBOR support for serde - Rust source code Source code for Debianized Rust crate "serde_cbor" Package: librust-serde-with-dev Description-md5: 815acab2f8688389ce0ae8345f0f6c1a Description-en: Custom de/serialization functions for Rust's serde - Rust source code Source code for Debianized Rust crate "serde_with" Package: librust-serde-with-macros-dev Description-md5: 53ad318bf274367ebc9544a51850200d Description-en: Proc-macro library for serde_with - Rust source code Source code for Debianized Rust crate "serde_with_macros" Package: librust-servo-fontconfig-sys-dev Description-md5: 1f29ee230f9505da57ef5e78d0bcaac6 Description-en: Font configuration and customization library - Rust source code Source code for Debianized Rust crate "servo-fontconfig-sys" Package: librust-shadow-rs-dev Description-md5: 921722c242fe4203441f278f07f812e6 Description-en: Build-time information stored in your rust project - Rust source code Source code for Debianized Rust crate "shadow-rs" Package: librust-soketto-dev Description-md5: 075b4ca0a4a7ef54f14d16bbbb8a4983 Description-en: websocket protocol implementation Soketto is an implementation of the RFC 6455 websocket protocol. . This package contains the source for the Rust soketto crate, for use with cargo. Package: librust-sqlx-core-dev Description-md5: 4b5423d01ce73fc01a755f3772b1b1b3 Description-en: Core of SQLx, the rust SQL toolkit - Rust source code Not intended to be used directly. . Source code for Debianized Rust crate "sqlx-core" Package: librust-sqlx-dev Description-md5: 73361f5800bc81855c98de1556273cd7 Description-en: 🧰 The Rust SQL Toolkit - Rust source code An async, pure Rust SQL crate featuring compile-time checked queries without a DSL. Supports PostgreSQL, MySQL, and SQLite. . Source code for Debianized Rust crate "sqlx" Package: librust-sqlx-macros-core-dev Description-md5: a5abee899cbc2cfe3510b26cb8441771 Description-en: Macro support core for SQLx, the Rust SQL toolkit - Rust source code Not intended to be used directly. . Source code for Debianized Rust crate "sqlx-macros-core" Package: librust-sqlx-macros-dev Description-md5: dfcc5e59ff6278f2d1be0b11dcb41f52 Description-en: Macros for SQLx, the rust SQL toolkit - Rust source code Not intended to be used directly. . Source code for Debianized Rust crate "sqlx-macros" Package: librust-sqlx-postgres-dev Description-md5: 032ec64b4a402954d4c023a8fcc7b72a Description-en: PostgreSQL driver implementation for SQLx - Rust source code Not for direct use; see the `sqlx` crate for details. . Source code for Debianized Rust crate "sqlx-postgres" Package: librust-sqlx-sqlite-dev Description-md5: d5047decdf9897e8166f5872fa99a8da Description-en: SQLite driver implementation for SQLx - Rust source code Not for direct use; see the `sqlx` crate for details. . Source code for Debianized Rust crate "sqlx-sqlite" Package: librust-sshkeys-dev Description-md5: 687ea94dad19455ffd88f7ef117acd68 Description-en: Parsing OpenSSH certificates and public keys - Rust source code Source code for Debianized Rust crate "sshkeys" Package: librust-ssri-dev Description-md5: f702a2cf176fc3e4397a08ec071f66f6 Description-en: Various utilities for handling Subresource Integrity - Rust source code Source code for Debianized Rust crate "ssri" Package: librust-tempfile-dev Description-md5: 6158cea345244f8dd166d9676416e9e9 Description-en: Managing temporary files and directories - Rust source code Source code for Debianized Rust crate "tempfile" Package: librust-tonic-build-dev Description-md5: d7474b4869827cdfdead4f8efadf2362 Description-en: codegen module of tonic gRPC implementation - Rust source code tonic-build compiles proto files via prost and generates service stubs and proto definitiones for use with tonic. . tonic is a gRPC over HTTP/2 implementation focused on high performance, interoperability, and flexibility. . This package contains the source for the Rust tonic-build crate, for use with cargo. Package: librust-tonic-dev Description-md5: d41b8bf2cd35e74f15a63a01636ab835 Description-en: gRPC over HTTP/2 - Rust source code tonic is a gRPC over HTTP/2 implementation focused on high performance, interoperability, and flexibility. . This package contains the source for the Rust tonic crate, for use with cargo. Package: librust-tower-http-dev Description-md5: 70a928ed88b200dc34e8ae75d8d21038 Description-en: Tower middleware and utilities for HTTP clients and servers - Rust source code Source code for Debianized Rust crate "tower-http" Package: librust-tss-esapi-sys-dev Description-md5: 4fb69f79e8dbb0350c1ef965d082b807 Description-en: FFI wrapper around TSS 2.0 Enhanced System API - Rust source code Source code for Debianized Rust crate "tss-esapi-sys" Package: librust-ttrpc-dev Description-md5: de8cdb7a62b217eef7545366db00a35e Description-en: Rust version of ttrpc - Rust source code Source code for Debianized Rust crate "ttrpc" Package: librust-udevrs-dev Description-md5: c45b508e216f98b81e661de7259872f5 Description-en: Pure Rust implementation of the user-land udev library - Rust source code Source code for Debianized Rust crate "udevrs" Package: librust-uucore-dev Description-md5: d4a53ec699d615b5b5639280da73f86a Description-en: Uutils ~ 'core' uutils code library (cross-platform) - Rust source code Source code for Debianized Rust crate "uucore" Package: librust-versions-dev Description-md5: 140a596dce2bc42578a0c51531348b5f Description-en: Parsing and comparing software version numbers - Rust source code Source code for Debianized Rust crate "versions" Package: librust-vsock-dev Description-md5: 67bd586b72f0c2af6bfa692cbe5ff6a1 Description-en: Virtio socket support for Rust - Rust source code Source code for Debianized Rust crate "vsock" Package: librust-wayland-client-0.29-dev Description-md5: 7c47d00082832a44df6f900e3ef8d6a6 Description-en: Bindings to the standard C implementation of the wayland protocol, client side - Rust source code Source code for Debianized Rust crate "wayland-client" Package: librust-webp-dev Description-md5: 4c9d9fd0a463e0a188d4cd5ce0966563 Description-en: WebP conversion library - Rust source code Source code for Debianized Rust crate "webp" Package: librust-wycheproof-dev Description-md5: 54550b713f63341c520a6213baa3897b Description-en: Wycheproof test vectors - Rust source code Source code for Debianized Rust crate "wycheproof" Package: librust-xkbcommon-sys-dev Description-md5: 536e53b87abc4514a23305a89b5a06ea Description-en: Bindings to libxkbcommon - Rust source code Source code for Debianized Rust crate "xkbcommon-sys" Package: librust-xterm-query-dev Description-md5: 0727907e252789b3f0023260b16a30d1 Description-en: Query your terminal - Rust source code Source code for Debianized Rust crate "xterm-query" Package: librust-yaxpeax-arch-dev Description-md5: 0c9cf5bbeac426021c9416fcffebbfa8 Description-en: Fundamental traits to describe an architecture in the yaxpeax project - Rust source code Source code for Debianized Rust crate "yaxpeax-arch" Package: librust-yoke-dev Description-md5: 5dd08a5ef5222277bed4a32655c58ad7 Description-en: Abstraction allowing borrowed data to be carried along with the backing data it borrows from - Rust source code Source code for Debianized Rust crate "yoke" Package: librust-yubico-dev Description-md5: b0d5975d5707cba6abdc05092fa6723a Description-en: Yubikey client API library - Rust source code Source code for Debianized Rust crate "yubico" Package: librust-zbus-1-dev Description-md5: a3b4c6e09605885c9add0209a3190edd Description-en: API for D-Bus communication - Rust source code Source code for Debianized Rust crate "zbus" Package: librust-zbus-dev Description-md5: a3b4c6e09605885c9add0209a3190edd Description-en: API for D-Bus communication - Rust source code Source code for Debianized Rust crate "zbus" Package: librust-zbus-macros-dev Description-md5: 55f79f4013784f77451b15d123f5e457 Description-en: Proc-macros for zbus - Rust source code Source code for Debianized Rust crate "zbus_macros" Package: librust-zerofrom+derive-dev Description-md5: 5445e6fc19a106393724b505ef54ad54 Description-en: ZeroFrom trait for constructing - feature "derive" This metapackage enables feature "derive" for the Rust zerofrom crate, by pulling in any additional dependencies needed by that feature. Package: librust-zerofrom-dev Description-md5: f772e68df9ffd103551b7e05afcb33d6 Description-en: ZeroFrom trait for constructing - Rust source code Source code for Debianized Rust crate "zerofrom" Package: librust-zvariant-derive-dev Description-md5: 0f0fdacfeeb65e92bfeaf7c261f54bc3 Description-en: D-Bus & GVariant encoding & decoding - Rust source code Source code for Debianized Rust crate "zvariant_derive" Package: librust-zvariant-dev Description-md5: 8ece7d455853850ea2e3bff4ad702368 Description-en: D-Bus & GVariant encoding & decoding - Rust source code Source code for Debianized Rust crate "zvariant" Package: librust-zvariant-utils-dev Description-md5: f8edaa7a81b2f15afa83c6aa0f9d33f6 Description-en: Various utilities used internally by the zvariant crate - Rust source code Source code for Debianized Rust crate "zvariant_utils" Package: librust-zxcvbn-dev Description-md5: 2b35b06f0f5932aec282b6cbd0f76573 Description-en: Entropy-based password strength estimator - Rust source code Source code for Debianized Rust crate "zxcvbn" Package: libsdl2-dev Description-md5: 9a82f59c5790721baad7ffc5f181d3d6 Description-en: Simple DirectMedia Layer development files SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. . This package contains the files needed to compile and link programs which use SDL. Package: libsdl2-tests Description-md5: f451e96923adb880d39bf4e59ef383d2 Description-en: Simple DirectMedia Layer automated and manual tests SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. . This package contains manual and automated tests. The automated tests can be run using ginsttest-runner in the gnome-desktop-testing package, or manually. Package: libseat-dev Description-md5: e03544163d34dd8d30669f67251851d0 Description-en: flexible user, seat and session management library (development files) seatd session management development files. Package: libseat1 Description-md5: 7f01ee0a114f451a23cc50044cc35adb Description-en: flexible user, seat and session management library This library provides runtime access to user, seat and session management. The backend server used is configurable at runtime. Currently implemented backends are seatd, elogind or systemd-logind. Package: libsignon-extension1 Description-md5: e24e2692ad2220c659fa0e8d1aaade19 Description-en: Single Sign On framework - extension shared library Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains extension shared libraries to be used by applications. Package: libsignon-plugins-common1 Description-md5: 6c4aa981e85544ca86df5b0ddb4bef31 Description-en: Single Sign On framework - plugins common shared library Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains plugin shared libraries to be used by applications. Package: libsignon-plugins-doc Description-md5: ab21ea8701c870525356d69b70e0814e Description-en: Single Sign On framework - plugins documentation Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains the documentation for libsignon-plugins-dev. Package: libsignon-qt-doc Description-md5: e5259c47c1a7b8e401bde44f0e897ae2 Description-en: Single Sign On framework - documentation for Qt API Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains the documentation for libsignon-qt. Package: libsignon-qt6-1 Description-md5: 8d4a7680e8089b516cbd7270bcc6f8a0 Description-en: Single Sign On framework - Qt6 shared libraries Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains Qt6 shared libraries to be used by applications. Package: libsignon-qt6-dev Description-md5: 64320b91e72f00bc64982b0378c87964 Description-en: Single Sign On framework - Qt6 development files Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Headers and static libraries for the libsignon-qt6 library. Package: libsilo-bin Description-md5: 9554086ae8ffcfab42b65ca08337b3b9 Description-en: Utilities to manipulate libsilo files This package contains a number of utilities for manipulating and viewing Silo files. Silo is a scientific data format library. . Silo supports gridless (point) meshes, structured meshes, unstructured-zoo and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes, constructive solid geometry (CSG) meshes, piecewise-constant (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables defined on the node, edge, face or volume elements of meshes as well as the decomposition of meshes into arbitrary subset hierarchies including materials and mixing materials. In addition, Silo supports a wide variety of other useful objects to address various scientific computing application needs. Although the Silo library is a serial library, it has some key features which enable it to be applied quite effectively and scalable in parallel. Package: libsilo-dev Description-md5: 44789d497dcd21167152e84726c04613 Description-en: Development files for SILO Scientific I/O library from LLNL Silo is a library for reading and writing a wide variety of scientific data to binary, disk files. The files Silo produces and the data within them can be easily shared and exchanged between wholly independently developed applications running on disparate computing platforms. Consequently, Silo facilitates the development of general purpose tools for processing scientific data. One of the more popular tools that process Silo data files is the VisIt visualization tool. . Silo supports gridless (point) meshes, structured meshes, unstructured-zoo and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes, constructive solid geometry (CSG) meshes, piecewise-constant (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables defined on the node, edge, face or volume elements of meshes as well as the decomposition of meshes into arbitrary subset hierarchies including materials and mixing materials. In addition, Silo supports a wide variety of other useful objects to address various scientific computing application needs. Although the Silo library is a serial library, it has some key features which enable it to be applied quite effectively and scalable in parallel. . Architecturally, the library is divided into two main pieces; an upper-level application programming interface (API) and a lower-level I/O implementation called a driver. Silo supports multiple I/O drivers, the two most common of which are the HDF5 (Hierarchical Data Format 5) and PDB (Portable Data Base) drivers. Package: libsiloh5-0t64 Description-md5: 2e5cdda66dd0aca877bcaddcde85bed1 Description-en: SILO Science I/O library from LLNL Silo is a library for reading and writing a wide variety of scientific data to binary, disk files. . Silo supports gridless (point) meshes, structured meshes, unstructured-zoo and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes, constructive solid geometry (CSG) meshes, piecewise-constant (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables defined on the node, edge, face or volume elements of meshes as well as the decomposition of meshes into arbitrary subset hierarchies including materials and mixing materials. In addition, Silo supports a wide variety of other useful objects to address various scientific computing application needs. Although the Silo library is a serial library, it has some key features which enable it to be applied quite effectively and scalable in parallel. Package: libslepc-complex-dev Description-md5: cfde64b423050bc21ab0b11830b70131 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dummy package which depends on the latest version of SLEPc with complex number support. Package: libslepc-complex3.21 Description-md5: 76f066f0e9f63ec30884112a5b519898 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the shared libraries for SLEPc 3.21 with complex numbers. Package: libslepc-complex3.21-dev Description-md5: c9e95ad6c14faf0a72baba187c577909 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the static libraries, shared links, and header files for SLEPc 3.21 with complex numbers. Package: libslepc-real-dev Description-md5: 50f188ce774f08415c0ece65b18a4523 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dummy package which depends on the latest version of SLEPc with real number support. Package: libslepc-real3.21 Description-md5: 895c7769df29307918c4cab6ebaaffbe Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the shared libraries for SLEPc 3.21 with real numbers. Package: libslepc-real3.21-dev Description-md5: 26a6e8444b26568c03dc9df4c5aced46 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the static libraries, shared links, and header files for SLEPc 3.21 with real numbers. Package: libslepc3.21-dev-examples Description-md5: 4959037923ae0718b418959d51218e75 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains example, test, and tutorial scripts for SLEPc 3.21. Package: libslepc64-complex-dev Description-md5: 417c5ae1f45fae79f6ef05dbf6bcacf0 Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dummy package which depends on the latest version of SLEPc with complex number support and 64-bit indexing. Package: libslepc64-complex3.21 Description-md5: 3ead0dd049e7abb366c98997e60e3e75 Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the shared libraries for SLEPc 3.21 with complex numbers and 64-bit indexing. Package: libslepc64-complex3.21-dev Description-md5: fb992a6ec10af079ab051e75cfce939a Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the static libraries, shared links, and header files for SLEPc 3.21 with complex numbers and 64-bit indexing. Package: libslepc64-real-dev Description-md5: df3db3a12caba60daa3391f3abcd38bd Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dummy package which depends on the latest version of SLEPc with real number support and 64-bit indexing. Package: libslepc64-real3.21 Description-md5: 6ee77ed68ef43c76b21fa61c20dab951 Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the shared libraries for SLEPc 3.21 with real numbers and 64-bit indexing. Package: libslepc64-real3.21-dev Description-md5: 7dde2db1ca6cbb1f6604e077eda23956 Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the static libraries, shared links, and header files for SLEPc 3.21 with real numbers and 64-bit indexing. Package: libsocl-1.4-1t64 Description-md5: 607f8f9648f71dbaceaaeeaa04f4c6e8 Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains an OpenCL-compatible library interface to StarPU. Package: libstarpu-1.4-5t64 Description-md5: 6e4e8db53b47fb942bef212def2a1e22 Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains the main StarPU library Package: libstarpu-dev Description-md5: 967c103c8b3cf3662ee291f422fa5be6 Description-en: Task scheduler for heterogeneous multicore machines - dev StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains development headers and libraries. Package: libstarpu-openmp-llvm-1.4-1t64 Description-md5: fa0db18af8ec5b15b780924363dc10b1 Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains the runtime support for LLVM OpenMP. Package: libstarpufft-1.4-1t64 Description-md5: a1e84fcd5b7aa1a04d6c8089b0a0ecef Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains a hybrid CPU+GPU FFT library. Package: libstarpumpi-1.4-3t64 Description-md5: a7034f989f1ae2e40b4db1b5bb58bd47 Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains MPI extensions for StarPU. Package: libstarpurm-1.4-1t64 Description-md5: 85559acb0db63a97ea4ac811b666f011 Description-en: Task scheduler for heterogeneous multicore machines StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains the resource management library. Package: libsundials-arkode6 Description-md5: 5c257d12d16e49e3873ad356646fc5bf Description-en: differential equation solver (SUNDIALS library) This package contains the library for differential equation (ODE) system solvers from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). ARKODE is a solver for multi-rate ODE systems (initial value problems) given in explicit form M*dy/dt = f(t,y) Package: libsundials-core7 Description-md5: ca34dcaed2a5551b076bb63d558150dd Description-en: differential equation solver (SUNDIALS library) This package contains the library for differential equation (ODE) system solvers from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). ARKODE is a solver for multi-rate ODE systems (initial value problems) given in explicit form M*dy/dt = f(t,y) . This package provides core libraries used by other SUNDIALS components. Package: libsundials-cvode7 Description-md5: 0ff52e3f29b18deabc6db57ac3b5e1d1 Description-en: ordinary differential equation solver (SUNDIALS library) This package contains the library for ordinary differential equation (ODE) system solvers from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). CVODE is a solver for stiff and nonstiff ODE systems (initial value problem) given in explicit form dy/dt = f(t,y). Package: libsundials-cvodes7 Description-md5: a9a4492d86a28ec7578a00e72cb5d2b1 Description-en: ODE solver with sensistivity analysis (SUNDIALS library) This package contains the library for ordinary differential equation (ODE) system solver with sensistivity analysis capabilities from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). CVODES is a solver for stiff and nonstiff ODE systems (initial value problem) given in explicit form y' = f(t,y,p) with sensitivity analysis capabilities (both forward and adjoint modes). Package: libsundials-dev Description-md5: 9c4aec18b9b5cc8e8b4cfd8105655be6 Description-en: Package providing everything for SUNDIALS dev work This package contains all development files necessary to build applications using SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). All examples and documentation links live in this package as well. Package: libsundials-fortran-dev Description-md5: 96ad0e393b6b3f34deba318f9c346242 Description-en: Package providing everything for SUNDIALS dev work. Fortran files This package contains all development fortran files necessary to build applications using SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). All examples and documentation links live in this package as well. Package: libsundials-ida7 Description-md5: c359589cc273deb6f6dddc3d3f3a202d Description-en: differential-algebraic system solver (SUNDIALS library) This package contains the library for differential-algebraic system (IDA) solver from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). Package: libsundials-idas6 Description-md5: 503ef1a5c6d979fe325b818c3a88ae03 Description-en: IDA solver with sensitivity capabilities (SUNDIALS library) This package contains the library for differential-algebraic system with Sensitivity capabilities (IDAS) solver from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). Package: libsundials-kinsol7 Description-md5: f267dbe7489f2eb95d1c6b5d83f7182e Description-en: KINSOL solver (SUNDIALS library) This package contain the library for KINSOL (nonlinear algebraic systems) system solver from SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). Package: libsundials-nvecparallel-hypre7 Description-md5: 8da582d23ea48351d8eb61e029bee537 Description-en: HYPRE vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the HYPRE-based parallel flavor of the library. Package: libsundials-nvecparallel-mpi7 Description-md5: 7697e104e796dd21736f11b715949ed5 Description-en: MPI vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the MPI parallel flavor of the library. Package: libsundials-nvecparallel-openmp7 Description-md5: 9c81ecc23d7793f2a719fb8dfd4f9fb5 Description-en: OpenMP vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the OpenMP-based parallel flavor of the library. Package: libsundials-nvecparallel-petsc7 Description-md5: f66e08e2eab2e39bae8b39de4d4a145e Description-en: PETSc vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the PETSc-based parallel flavor of the library. Package: libsundials-nvecparallel-pthread7 Description-md5: d0d9f60c2b63b48333180adeb6b4dcd9 Description-en: Pthread vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the pthread-based parallel flavor of the library. Package: libsundials-nvecserial7 Description-md5: 8f934980000cb2191bcd580d4875420f Description-en: Non-parallel vector operations library (SUNDIALS library) This package provides implementations for all vector operations defined by the generic NVECTOR module in the table of operations for SUNDIALS (SUite of Nonlinear and DIfferential/ALgebraic equation Solvers). . This package contains the serial flavor of the library. Package: libsundials-sunlinsol5 Description-md5: c2d9713eb79bcc429f16fb6375356abc Description-en: differential equation solver (SUNDIALS library) Auxiliary solvers used by the SUNDIALS library. Package: libsundials-sunmatrix5 Description-md5: c2d9713eb79bcc429f16fb6375356abc Description-en: differential equation solver (SUNDIALS library) Auxiliary solvers used by the SUNDIALS library. Package: libsuperlu-dist-dev Description-md5: f1a067ff003769a463928d71c039fce3 Description-en: Highly distributed solution of sparse linear equations SuperLU is a general purpose library for the direct solution of large, sparse, nonsymmetric systems of linear equations. The library is written in C and is callable from either C or Fortran program. It uses MPI, OpenMP and CUDA to support various forms of parallelism. It supports both real and complex datatypes, both single and double precision, and 64-bit integer indexing. The library routines performs an LU decomposition with partial pivoting and triangular system solves through forward and back substitution. The LU factorization routines can handle non-square matrices but the triangular solves are performed only for square matrices. The matrix columns may be preordered (before factorization) either through library or user supplied routines. This preordering for sparsity is completely separate from the factorization. Working precision iterative refinement subroutines are provided for improved backward stability. Routines are also provided to equilibrate the system, estimate the condition number, calculate the relative backward error, and estimate error bounds for the refined solutions. . SuperLU_DIST implements the algorithms for distributed memory, targetting highly parallel distributed memory hybrid systems. The numerical factorization routines are already implemented for hybrid systems with multiple GPUs. Further work will be needed to implement the other phases of the algorithms on the hybrid systems and to enhance strong scaling to extreme scale. . The main library is libsuperlu_dist.so but a fortran wrapper library is also provided as libsuperlu_dist_fortran.so . This package provides development files for building client applications against superlu-dist. Package: libsuperlu-dist8 Description-md5: a030a487e8e91b47850002f33c867c9c Description-en: Highly distributed solution of sparse linear equations SuperLU is a general purpose library for the direct solution of large, sparse, nonsymmetric systems of linear equations. The library is written in C and is callable from either C or Fortran program. It uses MPI, OpenMP and CUDA to support various forms of parallelism. It supports both real and complex datatypes, both single and double precision, and 64-bit integer indexing. The library routines performs an LU decomposition with partial pivoting and triangular system solves through forward and back substitution. The LU factorization routines can handle non-square matrices but the triangular solves are performed only for square matrices. The matrix columns may be preordered (before factorization) either through library or user supplied routines. This preordering for sparsity is completely separate from the factorization. Working precision iterative refinement subroutines are provided for improved backward stability. Routines are also provided to equilibrate the system, estimate the condition number, calculate the relative backward error, and estimate error bounds for the refined solutions. . SuperLU_DIST implements the algorithms for distributed memory, targetting highly parallel distributed memory hybrid systems. The numerical factorization routines are already implemented for hybrid systems with multiple GPUs. Further work will be needed to implement the other phases of the algorithms on the hybrid systems and to enhance strong scaling to extreme scale. . The main library is libsuperlu_dist.so but a fortran wrapper library is also provided as libsuperlu_dist_fortran.so . This package provides the superlu-dist and superlu_dist_fortran shared libraries. Package: libsyncevo-dbus0 Description-md5: 113d3b2a1b962cfb8979dfce5055d817 Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (shared D-Bus library) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a shared library. Package: libsyncevolution0 Description-md5: c03b5e002e88b1d79a3fc2076b457f8a Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (shared library) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a shared library. Package: libtorch-dev Description-md5: f82a036facab80b9cda749c723e0fe6f Description-en: Tensors and Dynamic neural networks in Python (Development Files) PyTorch is a Python package that provides two high-level features: . (1) Tensor computation (like NumPy) with strong GPU acceleration (2) Deep neural networks built on a tape-based autograd system . You can reuse your favorite Python packages such as NumPy, SciPy and Cython to extend PyTorch when needed. . This is the CPU-only version of PyTorch (Development files). Package: libtorch-test Description-md5: 4325c6e2507653d820eb920c5a2ad432 Description-en: Tensors and Dynamic neural networks in Python (Test Binaries) PyTorch is a Python package that provides two high-level features: . (1) Tensor computation (like NumPy) with strong GPU acceleration (2) Deep neural networks built on a tape-based autograd system . You can reuse your favorite Python packages such as NumPy, SciPy and Cython to extend PyTorch when needed. . This is the CPU-only version of PyTorch (Test Binaries). Package: libtorch2.4 Description-md5: 2af9ff00ffc5dab05134d4e047aa0a15 Description-en: Tensors and Dynamic neural networks in Python (Shared Objects) PyTorch is a Python package that provides two high-level features: . (1) Tensor computation (like NumPy) with strong GPU acceleration (2) Deep neural networks built on a tape-based autograd system . You can reuse your favorite Python packages such as NumPy, SciPy and Cython to extend PyTorch when needed. . This is the CPU-only version of PyTorch (Shared Objects). Package: libunwind-19 Description-md5: 70dd0c847cdef69a0ce9c2191d54b77e Description-en: production-quality unwinder libunwind is a production-quality unwinder, with platform support for DWARF unwind info, SjLj, and ARM EHABI. . The low level libunwind API was designed to work either in-process (aka local) or to operate on another process (aka remote), but only the local path has been implemented. Remote unwinding remains as future work. Package: libunwind-19-dev Description-md5: 70dd0c847cdef69a0ce9c2191d54b77e Description-en: production-quality unwinder libunwind is a production-quality unwinder, with platform support for DWARF unwind info, SjLj, and ARM EHABI. . The low level libunwind API was designed to work either in-process (aka local) or to operate on another process (aka remote), but only the local path has been implemented. Remote unwinding remains as future work. Package: libunwind-20 Description-md5: 70dd0c847cdef69a0ce9c2191d54b77e Description-en: production-quality unwinder libunwind is a production-quality unwinder, with platform support for DWARF unwind info, SjLj, and ARM EHABI. . The low level libunwind API was designed to work either in-process (aka local) or to operate on another process (aka remote), but only the local path has been implemented. Remote unwinding remains as future work. Package: libunwind-20-dev Description-md5: 70dd0c847cdef69a0ce9c2191d54b77e Description-en: production-quality unwinder libunwind is a production-quality unwinder, with platform support for DWARF unwind info, SjLj, and ARM EHABI. . The low level libunwind API was designed to work either in-process (aka local) or to operate on another process (aka remote), but only the local path has been implemented. Remote unwinding remains as future work. Package: libunwind-setjmp0 Description-md5: a2ad087672aa604c7e2e5e0dee1676a0 Description-en: libunwind-based non local goto - runtime The unwind-setjmp library offers a libunwind-based implementation of non-local gotos. This implementation is intended to be a drop-in replacement for the normal, system-provided routines of the same name. The main advantage of using the unwind-setjmp library is that setting up a non-local goto via one of the setjmp() routines is very fast. . This package includes the shared library Package: libunwind-setjmp0-dev Description-md5: 099a990a984e4f0a5487014e58a269b8 Description-en: libunwind-based non local goto - development The unwind-setjmp library offers a libunwind-based implementation of non-local gotos. This implementation is intended to be a drop-in replacement for the normal, system-provided routines of the same name. The main advantage of using the unwind-setjmp library is that setting up a non-local goto via one of the setjmp() routines is very fast. . This package includes the development support files Package: libvarnishapi-dev Description-md5: 9c15761c354c732b35ffb9837d7b1ea0 Description-en: development files for Varnish Development files for the Varnish HTTP accelerator. Package: libvarnishapi3 Description-md5: b62e58460782f2fccf788b20917cd377 Description-en: shared libraries for Varnish Shared libraries for the Varnish HTTP accelerator. Package: libvips-dev Description-md5: fdedcdb493e10121cc5a689301c664e1 Description-en: image processing system good for very large ones (dev) VIPS is an image processing system designed with efficiency in mind. It is good with large images (ones that larger than the amount of RAM in your machine), and for working with colour. It can perform many image manipulation tasks much faster than other packages such as ImageMagick and the GIMP and includes some special features such as creating single "mosaic" images from multiple parts. . VIPS consists of two main components: an image processing library with some command-line tools and a spreadsheet-like graphical user interface. The graphical interface is available in the nip2 package. . This package includes all development files needed to compile applications that use the vips library. Package: libvips-doc Description-md5: 66c9c90a8a11f154f79b4a673d2d6c55 Description-en: image processing system good for very large ones (doc) VIPS is an image processing system designed with efficiency in mind. It is good with large images (ones that larger than the amount of RAM in your machine), and for working with colour. It can perform many image manipulation tasks much faster than other packages such as ImageMagick and the GIMP and includes some special features such as creating single "mosaic" images from multiple parts. . VIPS consists of two main components: an image processing library with some command-line tools and a spreadsheet-like graphical user interface. The graphical interface is available in the nip2 package. . This package includes complete documentation for the VIPS system. It includes the application programmers' guide, the library programmers' guide, the C++ programmers' guide, and the reference guide, as well as HTML versions of the program and library manual pages. Package: libvips-tools Description-md5: 4b7763be3950065d87f0b48e06a16f7f Description-en: image processing system good for very large ones (tools) VIPS is an image processing system designed with efficiency in mind. It is good with large images (ones that larger than the amount of RAM in your machine), and for working with colour. It can perform many image manipulation tasks much faster than other packages such as ImageMagick and the GIMP and includes some special features such as creating single "mosaic" images from multiple parts. . VIPS consists of two main components: an image processing library with some command-line tools and a spreadsheet-like graphical user interface. The graphical interface is available in the nip2 package. . This package includes several command-line utilities that make it possible to use some vips functionality from shell scripts or the command line. Package: libvips42t64 Description-md5: c4eaae0fa8d986151b8ced75f4b02933 Description-en: image processing system good for very large ones VIPS is an image processing system designed with efficiency in mind. It is good with large images (ones that larger than the amount of RAM in your machine), and for working with colour. It can perform many image manipulation tasks much faster than other packages such as ImageMagick and the GIMP and includes some special features such as creating single "mosaic" images from multiple parts. . VIPS consists of two main components: an image processing library with some command-line tools and a spreadsheet-like graphical user interface. The graphical interface is available in the nip2 package. . This package includes runtime libraries needed to run applications linked with the vips library. Package: libvtk9-dev Description-md5: 7e2bbc8c66e40961c2bc067211a7a6c1 Description-en: VTK header files The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides the VTK header files required to compile C++ programs that use VTK to do 3D visualisation. Package: libvtk9-java Description-md5: 4a2c950d01a8db58857d1e38171eac2a Description-en: VTK - Java language support The Visualization Toolkit (VTK) is an object oriented, high level library that allows one to easily write C++ programs, Tcl, Python and Java scripts that do 3D visualization. . This package provides the VTK Java language support. Package: libvtk9-qt-dev Description-md5: f328fd3b8b64a22139aa0d00e2d89f5a Description-en: VTK header files, containing Qt files The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides the VTK header files required to compile C++ programs that use VTK to do 3D visualisation. Qt files Package: libvtk9.3 Description-md5: 144756a6093ffa63465fde3c11e8a81a Description-en: VTK libraries The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides the shared libraries needed to run C++ programs that use VTK. Package: libvtk9.3-qt Description-md5: 372a6934e0333f048f30bec2359bcec5 Description-en: VTK libraries, Qt files The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides the shared libraries needed to run C++ programs that use VTK. Qt files Package: libweston-14-0 Description-md5: 2facd845ca0d169f8667aeba4083ec4d Description-en: reference implementation of a wayland compositor (shared libs) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the libweston shared libraries. Package: libweston-14-dev Description-md5: 37fe04933eb7e845c267566fa5e9b313 Description-en: reference implementation of a wayland compositor (headers) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the libweston headers. Package: libwget2t64 Description-md5: 3cb4ed03cbc78579a7e509e41156a73f Description-en: Download library for files and recursive websites GNU Wget is a network utility to retrieve files from the web using HTTP(S) and FTP, the two most widely used internet protocols. It works non-interactively, so it will work in the background, after having logged off. The program supports recursive retrieval of web-authoring pages as well as FTP sites -- you can use Wget to make mirrors of archives and home pages or to travel the web like a WWW robot. . This is the library that provides the basic functions needed by a webclient. Package: libwings-dev Description-md5: 736231340646358300009dd9639c0ba1 Description-en: Window Maker's own widget set WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP look and feel. Its API is inspired in OpenSTEP and its implementation borrows some ideas from Tk. It has a reasonable set of widgets, sufficient for building small applications like a CDPlayer or hacking something like rxvt. It is used for basic widgets in the WindowMaker window manager. Package: libwings3 Description-md5: adcf1eeb2340b0e4a1e63a78046452fb Description-en: Window Maker's own widget set - runtime library WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP look and feel. Its API is inspired in OpenSTEP and its implementation borrows some ideas from Tk. It has a reasonable set of widgets, sufficient for building small applications like a CDPlayer or hacking something like rxvt. It is used for basic widgets in the WindowMaker window manager. . This package contains the libWINGs runtime library. Package: libwlroots-0.18 Description-md5: 97e3959e8e2847e5055f1ef3ac6eb8f3 Description-en: Modular wayland compositor library - shared library wlroots is a set of pluggable, composable modules to build a Wayland composior. . This package contains the shared library. Package: libwlroots-0.18-dev Description-md5: c7a4b9055b0a676c7df760c666327101 Description-en: Modular wayland compositor library - development headers wlroots is a set of pluggable, composable modules to build a Wayland compositor. . This package contains the development headers. Package: libwlroots-0.18-examples Description-md5: 9abf28f09f5bc41771d72d117d3c21a2 Description-en: Modular wayland compositor library - binaries wlroots is a set of pluggable, composable modules to build a Wayland composior. . This package contains various examples on how to use wlroots. Package: libwmaker-dev Description-md5: 5c09f0df0c52a3aff167eefbdc3b2dba Description-en: Static libraries and headers for Window Maker applications Window Maker is a NeXTSTEP-like window manager for X. . This package contains libWMaker and header files, for building Window Maker aware applications. Package: libwmaker1 Description-md5: 319744d41a084a1b3c223cc43afc8035 Description-en: Runtime library for Window Maker applications Window Maker is a NeXTSTEP-like window manager for X. . This package contains the libWMaker runtime library for Window Maker aware applications. Package: libwraster-dev Description-md5: 6d54c55b05b23df23c81d4ddd051c771 Description-en: Static libraries and headers of Window Maker rasterizer This library is used to manipulate images and convert them to a format that can be displayed through the X window system. Read the wraster.h header for an idea of what is available . Contains libwraster and header files, for manipulating and rasterizing images. Package: libwraster6 Description-md5: 86a61769b06ab3c5b32541ad3f59f5e8 Description-en: Shared libraries of Window Maker rasterizer This library is used to manipulate images and convert them to a format that can be displayed through the X window system. Read the wraster.h header for an idea of what is available Package: libwutil5 Description-md5: 1369d5b03389c4eec7bfd9ac92051d9e Description-en: Window Maker's own widget set - utility library WINGs Is Not GNUstep (WINGs) is a small widget set with the NeXTSTEP look and feel. Its API is inspired in OpenSTEP and its implementation borrows some ideas from Tk. It has a reasonable set of widgets, sufficient for building small applications like a CDPlayer or hacking something like rxvt. It is used for basic widgets in the WindowMaker window manager. . This package contains the libWUtils runtime library. Package: libx86emu-dev Description-md5: ec2c3ae18ccfaeb5aa86ac8fcb0be6a7 Description-en: x86 emulation library (development files) Small x86 emulation library with focus of easy usage and extended execution logging functions. The library features an API to create emulation objects for x86 architecture. . This package contains the development files. Package: libx86emu3 Description-md5: 8d59e1cbebe9ecb31b641ea874fd2a2f Description-en: x86 emulation library Small x86 emulation library with focus of easy usage and extended execution logging functions. The library features an API to create emulation objects for x86 architecture. . This package contains the library. Package: libxml-libxslt-perl Description-md5: 5a4ed3da894c2605abd86c174ea7495c Description-en: Perl interface to the GNOME libxslt library XML::LibXSLT is an XSLT Perl module, based on the GNOME libxslt library, which is a fast and XSLT 1.0 compliant XSLT engine. . XSLT is an XML-based language used for XML transformations. For more information see http://www.w3.org/TR/xslt. Package: libyang-dev Description-md5: e195fd2f4eb1baf9a539b2c7c7333f9e Description-en: parser toolkit for IETF YANG data modeling language (development) YANG is a data modeling language for the definition of data sent over network management protocols (RFC 6020/7950). It can be used to model both configuration data as well as state data of network elements and is primarily used by larger network equipment like routers and switches. . This package contains the development files. Package: libyang3 Description-md5: e5af2b726dd72ca12f90031fa161b6c3 Description-en: parser toolkit for IETF YANG data modeling language (library) YANG is a data modeling language for the definition of data sent over network management protocols (RFC 6020/7950). It can be used to model both configuration data as well as state data of network elements and is primarily used by larger network equipment like routers and switches. . This package contains the shared library. Package: libyang3-dev Description-md5: e195fd2f4eb1baf9a539b2c7c7333f9e Description-en: parser toolkit for IETF YANG data modeling language (development) YANG is a data modeling language for the definition of data sent over network management protocols (RFC 6020/7950). It can be used to model both configuration data as well as state data of network elements and is primarily used by larger network equipment like routers and switches. . This package contains the development files. Package: libyang3-tools Description-md5: e26f614ed5d6f6f919a72bc6e4fa6cef Description-en: parser toolkit for IETF YANG data modeling language (tools) YANG is a data modeling language for the definition of data sent over network management protocols (RFC 6020/7950). It can be used to model both configuration data as well as state data of network elements and is primarily used by larger network equipment like routers and switches. . This package contains additional tools. Package: libzadc-dev Description-md5: f17d42635224c91e66d835b12e64490e Description-en: accelerated libz implementation (development headers) Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides headers and development libraries. Package: libzadc4 Description-md5: 4899caf4ba1ec5289dd3b0f59c13b577 Description-en: accelerated libz implementation (Accelerated Data Compression/ADC) Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides public libzADC library, and libz library symlinks which are not exposed in the ldconfig paths. Package: libzbar-dev Description-md5: cbc904d6567674a270736247dc1af038 Description-en: QR code / bar code scanner and decoder (development) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the development files. Package: libzbar0t64 Description-md5: 884ba70891ca72e3a115e297844f0b93 Description-en: QR code / bar code scanner and decoder (library) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the library. Package: libzbargtk-dev Description-md5: 291197a42e4cccab6fa51274a99b94de Description-en: QR code / bar code scanner and decoder (GTK+ bindings development) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the development files for the GTK+ bindings. Package: libzbargtk0t64 Description-md5: abe72f8e1fd5755f8adcc6d43da9a812 Description-en: QR code / bar code scanner and decoder (GTK+ bindings) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the GTK+ bindings. Package: libzbarqt-dev Description-md5: 9cd0f9fcdf7486b383773b308e0a5b61 Description-en: QR code / bar code scanner and decoder (Qt binding development) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the development files for the Qt binding. Package: libzbarqt0t64 Description-md5: bc7fc01bbb87a5e94375470d9484527d Description-en: QR code / bar code scanner and decoder (Qt binding) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the library for Qt binding. Package: lighttpd Description-md5: 3cbd5b2dae1802558158d8c2aae494ab Description-en: fast webserver with minimal memory footprint lighttpd is a small webserver and fast webserver developed with security in mind and a lot of features. It has support for * CGI, FastCGI and SSI * virtual hosts * URL rewriting * authentication (plain files, htpasswd, LDAP) * transparent content compression * conditional configuration * HTTP proxying and configuration is straight-forward and easy. Package: lighttpd-doc Description-md5: 71123b17b9134699e9fdc68a1dc47ca8 Description-en: documentation for lighttpd lighttpd is a small webserver and fast webserver developed with security in mind and a lot of features. . This package contains documentation for lighttpd. Package: lighttpd-mod-authn-gssapi Description-md5: b4223412d16ad8cd37775485df76d00e Description-en: GSSAPI authentication module for lighttpd This package contains the authn_gssapi module for lighttpd. With this module, it is possible to perform GSSAPI authentication. Package: lighttpd-mod-authn-pam Description-md5: 7401ef4cca313be6d882b4add329ae92 Description-en: PAM authentication module for lighttpd This package contains the authn_pam module for lighttpd. With this module, it is possible to perform authentication using PAM. Package: lighttpd-mod-authn-sasl Description-md5: d3449f2f70a74c086b093764fe6c9043 Description-en: SASL authentication module for lighttpd This package contains the authn_sasl module for lighttpd. With this module, it is possible to perform authentication using SASL. Package: lighttpd-mod-deflate Description-md5: 30a8855db36328d73f026c9f2f15ed34 Description-en: HTTP response compression module for lighttpd This package contains the deflate module for lighttpd. With this module, it is possible compress HTTP responses using zlib, brotli, or zstd if supported by the client. Package: lighttpd-mod-gnutls Description-md5: 6287f63ce2c94f6c5371c50d05a9b223 Description-en: TLS support using GnuTLS module for lighttpd This package contains the gnutls module for lighttpd. With this module, it is possible serve https:// URLs. GnuTLS is an alternative to OpenSSL. Package: lighttpd-mod-maxminddb Description-md5: 561b4f3fdade57e37bedbe4c997ce233 Description-en: GeoIP2 info module for lighttpd This package contains the maxminddb module for lighttpd. With this module, it is possible to distinguish users based on the location using a GeoIP2 database. Package: lighttpd-mod-mbedtls Description-md5: 0652ebdbc541c91c6fbd18c9393770ee Description-en: TLS support using mbedTLS module for lighttpd This package contains the mbedtls module for lighttpd. With this module, it is possible serve https:// URLs. Aimed at embedded systems, mbedTLS uses less memory than OpenSSL, though is slightly slower than OpenSSL. Package: lighttpd-mod-nss Description-md5: 28f3eceeab568dafbcabb92ced8ba6e3 Description-en: TLS support using NSS crypto module for lighttpd This package contains the nss module for lighttpd. With this module, it is possible serve https:// URLs. NSS is an alternative to OpenSSL. Package: lighttpd-mod-openssl Description-md5: c6623bb6ca744ccf80ade19e72baf918 Description-en: TLS support using OpenSSL module for lighttpd This package contains the openssl module for lighttpd. With this module, it is possible serve https:// URLs. Package: lighttpd-mod-vhostdb-pgsql Description-md5: b593eb5f5e091b915eff282b56159819 Description-en: PostgreSQL-based virtual host configuration module for lighttpd This package contains the vhostdb_pgsql module for lighttpd. With this module, it is possible to write the configuration for virtual hosts into a PostgreSQL table instead of including it in the lighttpd configuration file. Package: lighttpd-mod-webdav Description-md5: 50e71fca16a2374627879656f6a14337 Description-en: WebDAV module for lighttpd The WebDAV module is an implementation of RFC 4918. . Currently supports: GET POST HEAD PROPFIND PROPPATCH OPTIONS MKCOL COPY MOVE DELETE PUT LOCK UNLOCK Package: lighttpd-mod-wolfssl Description-md5: b57dad97e5cca36c3a9c6283181df241 Description-en: TLS support using wolfSSL module for lighttpd This package contains the wolfssl module for lighttpd. With this module, it is possible serve https:// URLs. Aimed at embedded systems, wolfSSL uses less memory than OpenSSL, though is slightly slower than OpenSSL. Package: lighttpd-modules-dbi Description-md5: 4cd8984307699480039a82d4bd3028cd Description-en: DBI-based modules for lighttpd This package contains the following modules: * mod_authn_dbi: DBI-based authentication mod_authn_dbi performs authentication against a database server via DBI interface. * mod_vhostdb_dbi: DBI-based virtual host configuration mod_vhostdb_dbi enables writing the configuration for virtual hosts into a database table instead of including it in the lighttpd configuration file. . Do not depend on this package. Depend on the provided lighttpd-mod-* packages instead. Package: lighttpd-modules-ldap Description-md5: ccab7512c20ac6dd2f055dc6171e541e Description-en: LDAP-based modules for lighttpd This package contains the following modules: * mod_authn_ldap: With this module, it is possible to perform authentication against an LDAP server. * mod_vhostdb_ldap: Database backend module for using LDAP as a source for virtual host configuration using mod_vhostdb. . Do not depend on this package. Depend on the provided lighttpd-mod-* packages instead. Package: lighttpd-modules-lua Description-md5: 6da7f32d5e2d0e0f8330d329063c526e Description-en: LUA-based modules for lighttpd This package contains the following modules: * mod_magnet: control the request handling module for lighttpd mod_magnet can attract a request in several stages in the request-handling. either at the same level as mod_rewrite, before any parsing of the URL is done or at a later stage, when the doc-root is known and the physical-path is already setup. . Do not depend on this package. Depend on the provided lighttpd-mod-* packages instead. Package: lighttpd-modules-mysql Description-md5: 6c76038db76e343a9cd71837c369a03f Description-en: MySQL-based modules for lighttpd This package contains the following modules: * mod_vhostdb_mysql: Database backend module for using MySQL as a source for virtual host configuration using mod_vhostdb. . Do not depend on this package. Depend on the provided lighttpd-mod-* packages instead. Package: linphone-cli Description-md5: 182591eee3e2e23b6f780536fba3050b Description-en: SIP softphone - console-only client Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the console version of linphone. Package: linphone-common Description-md5: e8f0bd525e910718b4254080d72b90b0 Description-en: Shared components of the linphone SIP softphone Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the resource files of linphone (the rings). Package: lld Description-md5: 197bb05f16ef320833311b6f58b32dbb Description-en: LLVM-based linker LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. . This is a dependency package providing the lld linker. Package: lld-19 Description-md5: 39b52f07f105b329dd7852cce89ebb7d Description-en: LLVM-based linker LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. Package: lld-20 Description-md5: 39b52f07f105b329dd7852cce89ebb7d Description-en: LLVM-based linker LLD is a new, high-performance linker. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project. Package: lldb Description-md5: ead6eb91f74bd6143cb488df627284c1 Description-en: Next generation, high-performance debugger LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This is a dependency package providing the default version of lldb. Package: lldb-19 Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f Description-en: Next generation, high-performance debugger LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. Package: lldb-20 Description-md5: 45d52d0209cd7953d2f2bc4d1a99170f Description-en: Next generation, high-performance debugger LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. Package: llvm Description-md5: 7e5819364715e7c6ec6f0d6045edfc66 Description-en: Modular compiler and toolchain technologies LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This is a dependency package providing the default llvm package. Package: llvm-19 Description-md5: 07d463911771b9679a5f0131f40992d4 Description-en: Modular compiler and toolchain technologies LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . The strengths of the LLVM infrastructure are its extremely simple design (which makes it easy to understand and use), source-language independence, powerful mid-level optimizer, automated compiler debugging support, extensibility, and its stability and reliability. LLVM is currently being used to host a wide variety of academic research projects and commercial projects. LLVM includes C and C++ front-ends, a front-end for a Forth-like language (Stacker), a young scheme front-end, and Java support is in development. LLVM can generate code for X96, SparcV10, PowerPC or many other architectures. Package: llvm-19-dev Description-md5: 29f654aa391c01f742a2023c872d7a49 Description-en: Modular compiler and toolchain technologies, libraries and headers LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the libraries and headers to develop applications using llvm. Package: llvm-19-doc Description-md5: 19896d0a63f9911e3a7a7b85ecfac407 Description-en: Modular compiler and toolchain technologies, documentation LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package contains all documentation (extensive). Package: llvm-19-examples Description-md5: 61fee537b751bf39ce1ac5bf27ca3806 Description-en: Modular compiler and toolchain technologies, examples LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package contains examples for using LLVM, both in developing extensions to LLVM and in using it to compile code. Package: llvm-19-linker-tools Description-md5: 34d6480db2d150315f7bca6065772d03 Description-en: Modular compiler and toolchain technologies - Plugins LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This package contains the LLVMgold and LLVMPolly linker plugins. Package: llvm-19-runtime Description-md5: a2e425ebfc2fcd56b539f44a28559b4a Description-en: Modular compiler and toolchain technologies, IR interpreter LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the minimal required to execute programs in LLVM format. Package: llvm-19-tools Description-md5: 709eba32627c3c079585c05fc5963fe8 Description-en: Modular compiler and toolchain technologies, tools LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides tools for testing. Package: llvm-20 Description-md5: 07d463911771b9679a5f0131f40992d4 Description-en: Modular compiler and toolchain technologies LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . The strengths of the LLVM infrastructure are its extremely simple design (which makes it easy to understand and use), source-language independence, powerful mid-level optimizer, automated compiler debugging support, extensibility, and its stability and reliability. LLVM is currently being used to host a wide variety of academic research projects and commercial projects. LLVM includes C and C++ front-ends, a front-end for a Forth-like language (Stacker), a young scheme front-end, and Java support is in development. LLVM can generate code for X96, SparcV10, PowerPC or many other architectures. Package: llvm-20-dev Description-md5: 29f654aa391c01f742a2023c872d7a49 Description-en: Modular compiler and toolchain technologies, libraries and headers LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the libraries and headers to develop applications using llvm. Package: llvm-20-doc Description-md5: 19896d0a63f9911e3a7a7b85ecfac407 Description-en: Modular compiler and toolchain technologies, documentation LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package contains all documentation (extensive). Package: llvm-20-examples Description-md5: 61fee537b751bf39ce1ac5bf27ca3806 Description-en: Modular compiler and toolchain technologies, examples LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package contains examples for using LLVM, both in developing extensions to LLVM and in using it to compile code. Package: llvm-20-linker-tools Description-md5: 34d6480db2d150315f7bca6065772d03 Description-en: Modular compiler and toolchain technologies - Plugins LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This package contains the LLVMgold and LLVMPolly linker plugins. Package: llvm-20-runtime Description-md5: a2e425ebfc2fcd56b539f44a28559b4a Description-en: Modular compiler and toolchain technologies, IR interpreter LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides the minimal required to execute programs in LLVM format. Package: llvm-20-tools Description-md5: 709eba32627c3c079585c05fc5963fe8 Description-en: Modular compiler and toolchain technologies, tools LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . This package provides tools for testing. Package: llvm-bolt Description-md5: 82d91c0ea14cbaa68790498ccc3faccd Description-en: Post-link optimizer It achieves the improvements by optimizing application's code layout based on execution profile gathered by sampling profiler. . This is a dependency package providing bolt. . This package is not called bolt because it is already used. Package: llvm-dev Description-md5: 9688b8419a083ae0e59ea6a110ba4837 Description-en: Modular compiler and toolchain technologies, libraries and headers LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This is a dependency package providing the default libraries and headers. Package: llvm-runtime Description-md5: 85272fccf90612237e8d6e8c52758540 Description-en: Modular compiler and toolchain technologies, bytecode interpreter LLVM is a collection of libraries and tools that make it easy to build compilers, optimizers, just-in-time code generators, and many other compiler-related programs. . This is a dependency package providing the default bytecode interpreter. Package: loki Description-md5: bf9b5e908e674606bad9899b907430d7 Description-en: MCMC linkage analysis on general pedigrees Performs Markov chain Monte Carlo multipoint linkage analysis on large, complex pedigrees. The current package supports analyses on quantitative traits only, although this restriction will be lifted in later versions. Joint estimation of QTL number, position and effects uses Reversible Jump MCMC. It is also possible to perform affected only IBD sharing analyses. Package: loki-doc Description-md5: cdb20636f5859ad29987ae202873ef21 Description-en: MCMC linkage analysis on general pedigrees (PS manual) Performs Markov chain Monte Carlo multipoint linkage analysis on large, complex pedigrees. The current package supports analyses on quantitative traits only, although this restriction will be lifted in later versions. Joint estimation of QTL number, position and effects uses Reversible Jump MCMC. It is also possible to perform affected only IBD sharing analyses. . Documentation on how to use Simon Heath's "prep" and "loki" tools for MCMC genetic linkage and IBD analysis on general pedigrees. Package: lomiri-calendar-app Description-md5: 2c3b38fdf60af32583342b10377cdc0c Description-en: Calendar App for Lomiri Operating Environment This app is a core app for Ubuntu Touch's shell Lomiri. Ubuntu Touch is a mobile OS developed by the UBports Foundation. Lomiri is its operating environment optimized for touch based human-machine interaction, but also supports convergence (i.e. switching between tablet/phone and desktop mode). . This package provides Lomiri's Calendar App. Package: lomiri-online-accounts-plugin-common Description-md5: 174bc45173c494c5079b2fab10eab0aa Description-en: Lomiri Online Accounts account plugins - common files The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This package contains the common files shared between Lomiri Online Accounts account plugins. Package: lomiri-online-accounts-plugin-facebook Description-md5: 6094e9f32236851d66ad514bbf6668a9 Description-en: Lomiri Online Accounts account plugin - Facebook The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Facebook accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-flickr Description-md5: 766e29b581cc4c0ef7fbf7165a3d6d0a Description-en: Lomiri Online Accounts account plugin - Flickr The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Flickr accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-foursquare Description-md5: fbcb358e8e92cb1f35c0624ed79d8247 Description-en: Lomiri Online Accounts account plugin - FourSquare The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating FourSquare accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-google Description-md5: 26c2c35722965e1453be19668d8f0b4b Description-en: Lomiri Online Accounts account plugin - Google The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Google accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-identica Description-md5: 4f2f1b1abe0fd262aa16ffbda2268eb2 Description-en: Lomiri Online Accounts account plugin - identi.ca The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating identi.ca accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-instagram Description-md5: ffcd37cc035172f1c0aa99c951d7cc76 Description-en: Lomiri Online Accounts account plugin - Instagram The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Instagram accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-linkedin Description-md5: 6f381127c80c691c0f2e8df6d5da4c8c Description-en: Lomiri Online Accounts account plugin - LinkedIn The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating LinkedIn accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-mcloud Description-md5: 2e95767fac742c768045c333166c6f8f Description-en: Lomiri Online Accounts account plugin - mCloud The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating mCloud accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-microsoft Description-md5: d308c75625fb641058b8af14a12b44df Description-en: Lomiri Online Accounts account plugin - Microsoft The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating microsoft accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-nextcloud Description-md5: ee5c99be93841311e985f340e93a9a58 Description-en: Lomiri Online Accounts account plugin - Nextcloud The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Nextcloud accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-owncloud Description-md5: 1fe9621712b1af33e2df4b7ed6b8dfc6 Description-en: Lomiri Online Accounts account plugin - ownCloud The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating ownCloud accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-sina Description-md5: 6c0ad329559bbd5a116efc006c699e63 Description-en: Lomiri Online Accounts account plugin - Sina The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Sina accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-sohu Description-md5: 4beb10f73bc996cdf90092984b821979 Description-en: Lomiri Online Accounts account plugin - Sohu The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating Sohu accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-tools Description-md5: fbe0c976f3b36a367b521bafb441072f Description-en: Lomiri Online Accounts account plugins - tools The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . Command line tools for managing accounts in Lomiri Online Accounts. Package: lomiri-online-accounts-plugin-vk Description-md5: 67cd50751b524f08fd95fd880c51851e Description-en: Lomiri Online Accounts account plugin - VKontakte The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating VKontakte accounts in Lomiri System Settings. Package: lomiri-online-accounts-plugin-x Description-md5: a5ac2a39da133ce7ee93a7781143a65b Description-en: Lomiri Online Accounts account plugin - X (formerly known as Twitter) The LomiriOnlineAccounts plugin system provides Lomiri applications with online accounts settings via a central setup mechanism in Lomiri System Settings. . This plugin adds support for creating X (formerly known as Twitter) accounts in Lomiri System Settings. Package: loupe Description-md5: 66f95c2d7aa2b52b96af8b0740f36a69 Description-en: Image viewer for GNOME Loupe is a simple image viewer for the GNOME desktop. It uses glycin-loaders for image loading and decoding. A wide range of image formats is supported. Starting with GNOME 45 this is the default image viewer. Package: lsd Description-md5: 81ab24e2dab6d20a130dad18e5be032f Description-en: ls command with a lot of pretty colors and some other stuff Rewrite of GNU ls with lot of added features like colors, icons, tree-view, more formatting options etc. The project is heavily inspired by the super colorls project. Package: lua-hamlib Description-md5: 40fba0740af5fb55f64a82ca18f8dccb Description-en: Run-time Lua library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the Lua bindings of the library. Package: lubuntu-default-settings Description-md5: fa16d1e92dc9882e82f32b641d494e9f Description-en: default settings for Lubuntu Various system settings tailored for Lubuntu's default session. This includes default settings, applications, and themes. Package: lxde-settings-daemon Description-md5: 3abb5b944b77f34e48248e719f9f65ce Description-en: xsettings compliant configuration manager for LXDE The package contains the LXDE daemon which handles the session settings. It conforms to the FreeDesktop.Org XSettings standard. . LXSession also privides this functionality internally. Package: lxlock Description-md5: c620dcfc7bafcc2200b56eb982c4eeea Description-en: simple locking utility for LXDE LXLock is a GUI application for the Lightweight X11 Desktop Environment (LXDE). . It's a simple locking utility for LXDE. Package: lxpolkit Description-md5: 3bec12442556fc0d05b306911eacfa75 Description-en: LXDE PolicyKit authentication agent LXPolkit is a GUI application for the Lightweight X11 Desktop Environment (LXDE). . It's a simple PolicyKit authentication agent. Package: lxsession Description-md5: b1c7a3a2017d1b5ecb4aee1adb2da870 Description-en: LXDE default session manager LXSession is the default session manager for the Lightweight X11 Desktop Environment (LXDE). . It is derived from XSM, has fewer dependencies and more features. It is desktop-independent and can be used with any window manager. Package: lxsession-data Description-md5: 9935ce0d794cba32507b386192dc6dcd Description-en: Common files for lxsession LXSession is the default session manager for the Lightweight X11 Desktop Environment (LXDE). . This package provides common files for lxsession and supplementary packages. Package: lxsession-default-apps Description-md5: 95a4d37510f015e141e803aaebef0642 Description-en: utility to configure lxsession and its default applications LXSession is the default session manager for the Lightweight X11 Desktop Environment (LXDE). . LXSession-Default-Apps is an utility to configure lxsession and its default applications. Package: lxsession-edit Description-md5: 403d448ab7d3cf936daccc0f442b17b0 Description-en: configure what application start up automatically in LXDE LXSession-Edit is a simple session editor GUI for autostarting applications which follows autostart specs from FreeDesktop.Org. . This package can be used without lxsession package as well. Package: lxsession-logout Description-md5: 0487baba3d88fe612cdde2250455703e Description-en: utility to logout from a LXDE or an Openbox session LXSession is the default session manager for the Lightweight X11 Desktop Environment (LXDE). . LXSession-Logout is a simple utility for stopping or logging out from a LXDE or an Openbox session. Package: lziprecover Description-md5: 2887aca0f9e420036b6fe08744ec51db Description-en: lossless data compressor based on the LZMA algorithm (recovery) Lzip is a lossless data compressor based on the LZMA algorithm, with very safe integrity checking and a user interface similar to the one of gzip or bzip2. Lzip decompresses almost as fast as gzip and compresses better than bzip2, which makes it well suited for software distribution and data archiving. . This package contains the recovery tool. Package: mathgl Description-md5: a73ee7dac8ccf25e51029594c659f96f Description-en: library for scientific graphs (utilities and examples) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains MathGL utilities and examples. Package: matomo-device-detector Description-md5: c7a75ee6e3f81cc990f41128d676b527 Description-en: Universal Device Detection library written in PHP This package contains a Universal Device Detection library that parses User Agents and Browser Client Hints to detect devices (desktop, tablet, mobile, tv, cars, console, etc.), clients (browsers, feed readers, media players, PIMs, ...), operating systems, brands and models. Package: mayavi2 Description-md5: 3e1412fa7c45ad6d8094e81ca78b296b Description-en: scientific visualization package for 2-D and 3-D data MayaVi2 is a cross-platform tool for 2-D and 3-D scientific data visualization. Its features include: * Visualization of scalar, vector and tensor data in 2 and 3 dimensions * Easy scriptability using Python * Easy extendability via custom sources, modules, and data filters * Reading several file formats: VTK (legacy and XML), PLOT3D, etc. * Saving of visualizations * Saving rendered visualization in a variety of image formats. . MayaVi2 has been designed with scriptability and extensibility in mind. While the mayavi2 application is usable by itself, it may be used as an Envisage plugin which allows it to be embedded in user applications natively. Alternatively, it may be used as a visualization engine for any application. . This package also provides TVTK, which wraps VTK objects to provide a convenient, Pythonic API, while supporting Traits attributes and NumPy/SciPy arrays. TVTK is implemented mostly in pure Python, except for a small extension module. Package: mbox-importer Description-md5: fd0cea1835addf922fc480dca5ab2f11 Description-en: MBox email archive importer mbox-importer is a wizard to assist with importing MBox email archives into Akonadi Package: mcstas Description-md5: 353f93a78e9848c80a4a5b707d4342d8 Description-en: Neutron ray-trace simulation McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the McStas engine itself. Package: mcstas-clusterscripts Description-md5: ace840a55522f42113fc57836e735777 Description-en: Neutron ray-trace simulation - parallelization scripts McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains scripts to run McStas in parallel on various types of clusters. Package: mcstas-comps Description-md5: 5adbee4d5534309449297ebd34923c34 Description-en: Neutron ray-trace simulation - components McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the McStas components (i.e. models for simulated instruments and objects). Package: mcstas-manuals Description-md5: 80bec7f39951b6ab5d2599f84612033b Description-en: Neutron ray-trace simulation - documentation McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the documentation. Package: mcstas-mccodelib Description-md5: ba1a87108897f196bc3aa03dd7b0f0bc Description-en: Neutron ray-trace simulation - Python code library McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains Python libraries. Package: mcstas-mcdisplay-mantid Description-md5: f055eea6ab381f6ce323eb36ab1c7728 Description-en: Neutron ray-trace simulation - Mantid instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the Mantid frontend for the McStas instrument display. Package: mcstas-mcdisplay-matlab Description-md5: c87e223fa66750dde17074645dac1f01 Description-en: Neutron ray-trace simulation - Matlab/Octave instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the Matlab/Octave frontend for the instrument display. Package: mcstas-mcdisplay-matplotlib Description-md5: 94a0dfdcd9a716741992af6d7eceb7e2 Description-en: Neutron ray-trace simulation - Matplotlib instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the python matplotlib frontend for the instrument display. Package: mcstas-mcdisplay-pyqtgraph Description-md5: 4bec3f5cc937a7f653e48dfa01524418 Description-en: Neutron ray-trace simulation - pyqtgraph instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the pyqtgraph frontend for the instrument display. Package: mcstas-mcdisplay-webgl Description-md5: c35e760bf499efaad12cfe80229f60fa Description-en: Neutron ray-trace simulation - WebGL instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the WebGL frontend for the instrument display. Package: mcstas-mcdisplay-webgl-classic Description-md5: 9a5852e658fa1a29dafb5cbe35a5e66b Description-en: Neutron ray-trace simulation - WebGL instrument display McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the legacy WebGL frontend for the instrument display. Package: mcstas-mcdoc Description-md5: 40c9b527c4c314f4a29f4974f8f6cc63 Description-en: Neutron ray-trace simulation - documentation browser McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains mcdoc, a tool to display documentation for McStas itself and for the simulated instruments. Package: mcstas-mcgui Description-md5: 76ab8e6abe133553a4f2b1742898afc8 Description-en: Neutron ray-trace simulation - GUI McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the graphical user interface. Package: mcstas-mcplot-html Description-md5: 3f6d2e95f26ef49a6c93f11dda5a0102 Description-en: Neutron ray-trace simulation - HTML plotting frontend McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the HTML plotting frontend for the simulation results. Package: mcstas-mcplot-matlab Description-md5: 00a9e96b2d440619321e01e976c7ba94 Description-en: Neutron ray-trace simulation - Matlab/Octave plotting frontend McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains a Matlab/Octave frontend for the simulation result viewer. Package: mcstas-mcplot-matplotlib Description-md5: b1f0e9279e8bf2f2609f9875171712e9 Description-en: Neutron ray-trace simulation - Matplotlib plotting frontend McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the Matplotlib plotting frontend for the simulation results. Package: mcstas-mcplot-pyqtgraph Description-md5: 37039b8c8418ad7119511ac43334a487 Description-en: Neutron ray-trace simulation - pyqtgraph plotting frontend McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains the pyqtgraph plotting frontend for the simulation results. Package: mcstas-mcresplot Description-md5: aa2e4f35a85c901d4ef7c4b1dd6655ea Description-en: Neutron ray-trace simulation - resolution function plotter McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains mcresplot, a front-end to plot resolution function. Package: mcstas-mcrun Description-md5: 66da7e799def2a48829649de9a15178d Description-en: Neutron ray-trace simulation - runner McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This package contains mcrun, the command-line tool to run the McStat simulations. Package: mcstas-suite-python Description-md5: 97511cac6e5d45b5be0779ee164ecf9a Description-en: Neutron ray-trace simulation - full simulation suite McStas is a tool for carrying out Monte Carlo ray-tracing simulations of neutron scattering instruments with high complexity and precision. The simulations can compute all aspects of the performance of instruments and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McStas is based on a unique design where an automatic compilation process translates high-level textual instrument descriptions into efficient ISO-C code. . This metapackage contains the full python suite of tools. Package: mcxtrace Description-md5: 616290e1fdf19f50b0d24aee7c37b8d8 Description-en: X-ray ray-trace simulation McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the McXtrace engine itself. Package: mcxtrace-clusterscripts Description-md5: 13ef2f73532438abcf49aa8ccc8a4592 Description-en: X-ray ray-trace simulation - parallelization scripts McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains scripts to run McXtrace in parallel on various types of clusters. Package: mcxtrace-comps Description-md5: 5921a6843f389351026c55608215cbd7 Description-en: X-ray ray-trace simulation - components McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the McXtrace components (i.e. models for simulated beamlines and objects). Package: mcxtrace-manuals Description-md5: 9817413db1594e6f086cc531fdf5059b Description-en: X-ray ray-trace simulation - documentation McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the documentation. Package: mcxtrace-mccodelib Description-md5: 2312b0814655d75d2bec728cc0387e5f Description-en: X-ray ray-trace simulation - Python code library McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains Python libraries. Package: mcxtrace-mxdisplay-matlab Description-md5: 3c038ff9248da56e8c8583d2e5db6c65 Description-en: X-ray ray-trace simulation - Matlab/Octave instrument display McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the Matlab/Octave frontend for the instrument display. Package: mcxtrace-mxdisplay-matplotlib Description-md5: 9f5dd5168d4539c559098a86840048dc Description-en: X-ray ray-trace simulation - Matplotlib instrument display McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the Python Matplotlib frontend for the instrument display. Package: mcxtrace-mxdisplay-pyqtgraph Description-md5: 549c66df8c72542cfad08fd2231bcff6 Description-en: X-ray ray-trace simulation - pyqtgraph instrument display McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the pyqtgraph frontend for the instrument display. Package: mcxtrace-mxdisplay-webgl Description-md5: 44efccf37df0eb043c6168f5b0c28874 Description-en: X-ray ray-trace simulation - WebGL instrument display McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the WebGL frontend for the instrument display. Package: mcxtrace-mxdisplay-webgl-classic Description-md5: 45abfbbebd491bf192a3802230181bd9 Description-en: X-ray ray-trace simulation - WebGL instrument display McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the legacy WebGL frontend for the instrument display. Package: mcxtrace-mxdoc Description-md5: c39290f6bfe482c8792fa2f999a82741 Description-en: X-ray ray-trace simulation - documentation browser McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains mxdoc, a tool to display documentation for McXtrace itself and for the simulated beamlines. Package: mcxtrace-mxgui Description-md5: c4f2d4119a901f625d6a102b85bb8045 Description-en: X-ray ray-trace simulation - GUI McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the graphical user interface. Package: mcxtrace-mxplot-html Description-md5: ebc93842f539982003dfc4dd2122e44c Description-en: X-ray ray-trace simulation - HTML plotting frontend McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the HTML plotting frontend for the simulation results. Package: mcxtrace-mxplot-matlab Description-md5: 440ed69de95776f41fcd40f058f81421 Description-en: X-ray ray-trace simulation - Matlab/Octave plotting frontend McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains a Matlab/Octave frontend for the simulation result viewer. Package: mcxtrace-mxplot-matplotlib Description-md5: 5408a8d758b1f8c0a02337c03d16b268 Description-en: X-ray ray-trace simulation - Matplotlib plotting frontend McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the Matplotlib plotting frontend for the simulation results. Package: mcxtrace-mxplot-pyqtgraph Description-md5: 9b8f50518a90fdd6c950391ab69d37a8 Description-en: X-ray ray-trace simulation - pyqtgraph plotting frontend McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains the pyqtgraph plotting frontend for the simulation results. Package: mcxtrace-mxrun Description-md5: 87d7c48f68d390b175f0bd4b994d50e6 Description-en: X-ray ray-trace simulation - runner McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This package contains mxrun, the command-line tool to run the McXtrace simulations. Package: mcxtrace-suite-python Description-md5: 23b8e89aae9ff522f8c62896b3c02f0d Description-en: X-ray ray-trace simulation - full simulation suite McXtrace is a tool for carrying out highly complex Monte Carlo ray-tracing simulations of X-ray beamlines to high precision. The simulations can compute all aspects of the performance of beamlines and can thus be used to optimize the use of existing equipment, design new instrumentation, and carry out virtual experiments for e.g. training, experimental planning or data analysis. . McXtrace is based on a unique design, inhereted from its sister McStas, where an automatic compilation process translates high-level textual instrument descriptions into efficient ANSI-C code. This design makes it simple to set up typical simulations and also gives essentially unlimited freedom to handle more unusual cases. . This metapackage contains the full Python suite of tools. Package: mediastreamer2-plugin-msqogl Description-md5: ef2620e6c17dfe995cbc65675232432d Description-en: Voice and video streaming engine for telephony (OpenGL-accelerated video support) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains a plugin needed for OpenGL-accelerated video telephony support. Package: mediawiki2latex Description-md5: 4f685e1544b6dd8a6820e930e2bc0d46 Description-en: Loads pages from MediaWiki and converts to LaTeX and PDF This project provides a simple way of turning a HTML page hosted on a server into a high quality PDF version as well an its LaTeX source file respectively. . Particular care has been to generate esthetically pleasing results for pages hosted on servers running MediaWiki. . This project provides a simple default mode which just requires the URL to the page and creates a PDF file, by processing the HTML generated by the (MediaWiki-) server. It also provides extended possibilities giving a fine grained control over the conversion process to users familiar with LaTeX and MediaWiki. . Much effort was put into providing reasonable defaults for this way of processing for the needs of the English and German Wikibooks projects. Images are also downloaded, and if necessary modified for use in a LaTeX document. The latest test run on more than 2000 featured articles on the English Wikipedia completed without failures. Package: meep-mpi-default Description-md5: 6198a7d46f906d4991bd7b01ba7fd795 Description-en: software package for FDTD simulation, parallel version Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains binaries of the OpenMPI version on 64bit architectures and the MPICH version on 32bit architectures. Package: mercurial Description-md5: 01f62ef3bd1477c40a17577e8dcc5e14 Description-en: easy-to-use, scalable distributed version control system Mercurial is a fast, lightweight Source Control Management system designed for efficient handling of very large distributed projects. . Its features include: * O(1) delta-compressed file storage and retrieval scheme * Complete cross-indexing of files and changesets for efficient exploration of project history * Robust SHA1-based integrity checking and append-only storage model * Decentralized development model with arbitrary merging between trees * High-speed HTTP-based network merge protocol * Easy-to-use command-line interface * Integrated stand-alone web interface * Small Python codebase . This package contains the architecture dependent files. Package: mercurial-common Description-md5: 715b60ef17ae723625b571846d6d05da Description-en: easy-to-use, scalable distributed version control system (common files) Mercurial is a fast, lightweight Source Control Management system designed for efficient handling of very large distributed projects. . This package contains the architecture independent components of Mercurial, and is generally useless without the mercurial package. Package: messagelib-data Description-md5: 1623dd3ccf1809b74720e1a3f96aad4f Description-en: KDE PIM messaging library - data files This package contains the data files shipped with the message libraries. . This package is part of KDE PIM module. Package: messagelib-dev Description-md5: 5e1ba86069e5d75ef29cced14a5d8fa7 Description-en: KDE PIM messaging library - development files This package contains the development files for the KDE PIM messaging library. It provides basic e-mail message composing facilities, message handling, widgets, a mimetreeparser, a template parser and more. . This package is part of KDE PIM module. Package: mir-demos Description-md5: 438fbed9a91dcd2671ec41b04913bd86 Description-en: Mir Display Server - demonstration programs Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains demo applications that use the Mir display server Package: mir-graphics-drivers-desktop Description-md5: 59d745ac811598ba73a8e13e20ca3dd2 Description-en: Mir Display Server - desktop driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for traditional desktop systems. Package: mir-graphics-drivers-nvidia Description-md5: c0424baaf74e4b1256606a561265ab82 Description-en: Mir Display Server - Nvidia driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics drivers for Nvidia systems. Package: mir-platform-graphics-eglstream-kms Description-md5: 2b191965ce82ac99a8d25828a19dfdb9 Description-en: Mir Display Server - eglstream-kms driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for eglstream-kms systems. Package: mir-platform-graphics-eglstream-kms20 Description-md5: de3eb683c5cd18107a001c6710326ebe Description-en: Mir Display Server - platform library for NVIDIA Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the hardware platform using the EGLStream EGL extensions, such as the NVIDIA binary driver. Package: mir-platform-graphics-gbm-kms Description-md5: 05b58bd09bf49946527e9b9ec5127458 Description-en: Mir Display Server - gbm-kms driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for gbm-kms systems. Package: mir-platform-graphics-gbm-kms20 Description-md5: d66e80bece6e0ba55c34a0d691029ac2 Description-en: Mir Display Server - platform library for KMS Mesa Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the hardware platform using the Mesa drivers. Package: mir-platform-graphics-wayland Description-md5: d6462affe2c9705c94330ef888c61bec Description-en: Mir Display Server - wayland driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for wayland systems. Package: mir-platform-graphics-wayland20 Description-md5: d1ae9e6e928dbbb17a0576cc2c04998d Description-en: Mir Display Server - platform library for Wayland Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with a "host" Wayland display server. Package: mir-platform-graphics-x Description-md5: 91ffd09dd18fe029f248419094a716e8 Description-en: Mir Display Server - x driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for X systems. Package: mir-platform-graphics-x20 Description-md5: 472a7b047101bb76476a2d980f65a30f Description-en: Mir Display Server - platform library for X11 Mesa Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the X11 platform using the Mesa drivers. Package: mir-platform-input-evdev8 Description-md5: 90e53d61146b870f3030f3931198c9fc Description-en: Mir Display Server - input platform library Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the input hardware using the evdev interface. Package: mir-platform-rendering-egl-generic Description-md5: e8328d4b0868253b7e48b1a46264ef90 Description-en: Mir Display Server - EGL rendering provider metapackage Mir is a display server running on linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on the current provider of accelerated client rendering support via standard EGL interfaces. Package: mir-platform-rendering-egl-generic20 Description-md5: c53bba5d9431201a53a8903842cca1d1 Description-en: Mir Display Server - generic EGL rendering platform Mir is a display server running on linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to provide accelerated client rendering via standard EGL interfaces. Package: mir-test-tools Description-md5: 94ca9c295a0197948e62bb50b87dc42c Description-en: Mir Display Server - stress tests and other test tools Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains tools for smoke and performance testing the Mir display server Package: mir-wlcs-integration Description-md5: 71b3e542b35358f2a9a5d28123cd64fe Description-en: Mir Display Server - wlcs integration Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains libraries for integration with the wlcs test suite Package: mirrorbits Description-md5: c531d9231641c8b8fca2e344fe1cc972 Description-en: geographical download redirector Mirrorbits is a geographical download redirector written in Go for distributing files efficiently across a set of mirrors. It offers a simple and economic way to create a Content Delivery Network layer using a pure software stack. It is primarily designed for the distribution of large-scale Open-Source projects with a lot of traffic. . Main Features: * Blazing fast, can reach 8K QPS on a single laptop * Easy to deploy and maintain, everything is packed in a single binary * Automatic synchronization with the mirrors over rsync or FTP * Response can be either JSON or HTTP redirect * Support partial repositories * Complete checksum / size control * Realtime monitoring and reports * Disable misbehaving mirrors without human intervention * Realtime decision making based on location, AS number and defined rules * Smart load-balancing over multiple mirrors in the same area to avoid hotspots * Ability to adjust the weight of each mirror * Limit access to a country, region or ASN for any mirror * Clustering (multiple mirrorbits instances) * High-availability using redis-sentinel * Automatically fix timezone offsets for broken mirrors * Realtime statistics per file / mirror / date * Realtime reconfiguration * Seamless binary upgrade (aka zero downtime upgrade) * Mirmon support * Full IPv6 support * If-Modified-Since (RFC-7232) support * more... Package: mirtest-dev Description-md5: 32cc63ccfaab1c888ad772a208dcc7e8 Description-en: Mir Display Server - test development headers and library Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains header files and static library for testing of server and/or client development. Package: mkbootimg Description-md5: 0d10e2b9aac44ee2f6beda66718048b2 Description-en: Creates Android boot images Creates Android boot images that includes kernel image and ramdisk, in a special format which can be used with fastboot. Package: mlir-19-tools Description-md5: d0b5aeb3d29bd335ae224dda484fdc7a Description-en: Multi-Level Intermediate Representation tools Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. . This package provides tools. Package: mlir-20-tools Description-md5: d0b5aeb3d29bd335ae224dda484fdc7a Description-en: Multi-Level Intermediate Representation tools Novel approach to building reusable and extensible compiler infrastructure. MLIR aims to address software fragmentation, improve compilation for heterogeneous hardware, significantly reduce the cost of building domain specific compilers, and aid in connecting existing compilers together. . This package provides tools. Package: moarvm Description-md5: f6375ab5929d033bf1518633670567bb Description-en: virtual machine for Rakudo Perl 6 and NQP Short for "Metamodel On A Runtime", MoarVM is a modern virtual machine built for the Rakudo Raku (formerly Perl 6) compiler and the NQP Compiler Toolchain. MoarVM is used by the majority of Raku programmers. . Highlights include: * Great Unicode support, with strings represented at grapheme level * Dynamic analysis of running code to identify hot functions and loops, and perform a range of optimizations, including type specialization and inlining * Support for threads, a range of concurrency control constructs, and asynchronous sockets, timers, processes, and more * Generational, parallel, garbage collection * Support for numerous language features, including first class functions, exceptions, continuations, runtime loading of code, big integers and interfacing with native libraries Package: moarvm-dev Description-md5: 40df8c052a76d2c2c59087bcc7e53864 Description-en: development files for moarvm Storing 'Short for "Metamodel On A Runtime", MoarVM is a modern virtual machine built for the Rakudo Raku (formerly Perl 6) compiler and the NQP Compiler Toolchain. MoarVM is used by the majority of Raku programmers. . This package contains the developments files required to compile Nqp and Rakudo. Package: molds Description-md5: e4dd2cae798824b2fe9bbef772b35774 Description-en: Semi-empirical electronic structure and molecular dynamics MolDS is a semi-empirical electronic structure and molecular dynamics package. . Features includes: . * Semi-Empirical methods CNDO2, INDO, ZINDO/S, MNDO, AM1 and PM3 * Excited States via Single Configuration Interaction (CIS) * Dispersion corrections to AM1 (AM1-D) and PM3 (PM3-D) * Pairwise Distance Directed Gaussian (PDDG) correction to PM3 (PM3/PDDG) * Single-Point, geometry optimization, Molecular Dynamics (MD), Monte-Carlo (MC) and Polymer Molecular Dynamics (RPMD) type of calculations . MolDS currently ships parameters for the elements H, C, N, O, and S. Package: moosefs-cgi Description-md5: d0888f5ccae05efbe5153827eb859c72 Description-en: MooseFS - CGI monitor CGI application to monitor MooseFS through master/metadata server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-cgiserv Description-md5: 42c731d320b3c4b7113789a31b12ff7a Description-en: simple CGI-capable HTTP server to run MooseFS CGI monitor Simple standalone CGI-capable HTTP server to run MooseFS CGI Monitor. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-chunkserver Description-md5: faa741b8876d1e74e40394b9c4ee7f40 Description-en: MooseFS - data server The MooseFS data server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-cli Description-md5: 2a8f1b3f2de5c68f622f0b51027af691 Description-en: MooseFS CLI utility MooseFS monitoring utility which can be used for showing list of connected chunkservers, clients, health of the system, some stats etc. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-client Description-md5: 0a3bd90a33471b0442fa64680b84902c Description-en: MooseFS - client tools and mount utility Moosefs FUSE mount utility "mfsmount" and client tools. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-common Description-md5: 3900b31ed61dab4ad73fcbdec34483cb Description-en: MooseFS - common files This is an empty package to facilitate creation of "mfs" user for MooseFS daemons and to own "/etc/mfs" and "/var/lib/mfs" directories. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-master Description-md5: 1ecaccf30048af994d54a9627406d1c4 Description-en: MooseFS - master server MooseFS master (metadata) server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-metalogger Description-md5: 67a3a8a980351bf2c53e2c95684efa9f Description-en: MooseFS - metalogger server MooseFS metadata replication (backup) server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-netdump Description-md5: f7d268191838a37586d173b660e15f16 Description-en: MooseFS network packet dump utility MooseFS monitoring tool "mfsnetdump" utility which can interpret MooseFS network traffic and show it in colorful form. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: mopac Description-md5: 06f2c86d7c4d2e76a74f40337a84d774 Description-en: Molecular Orbital PACkage (MOPAC) MOPAC is a general-purpose semiempirical molecular orbital package for the study of solid state and molecular structures and reactions. . The semiempirical Hamiltonians MNDO, AM1, PM3, PM6, RM1, MNDO-d and PM7 are used in the electronic part of the calculation to obtain molecular orbitals, the heat of formation and its derivative with respect to molecular geometry. . Using these results MOPAC calculates the vibrational spectra, thermodynamic quantities, isotopic substitution effects and force constants for molecules, radicals, ions, and polymers. For studying chemical reactions, a transition state location routine and two transition state optimizing routines are available. For users to get the most out of the program, they must understand how the program works, how to enter data, how to interpret the results, and what to do when things go wrong. Package: morbig Description-md5: d445cb4fca8c723edd463f1f00188399 Description-en: Parser for POSIX shell scripts Morbig is a parser for shell scripts that are written in the POSIX shell script language. It parses the scripts statically, that is without executing them, and constructs a concrete syntax tree for each of them. The concrete syntax trees are built using constructors according to the shell grammar of the POSIX standard. . This package contains the parser tool which allows you to parse shell scripts, and to obtain their concrete syntax tree in various formats. Package: mousai Description-md5: 971d4a11c528cddaefe97dfdd2b61d12 Description-en: Identify songs in seconds Mousai is a simple application that can recognize songs similar to Shazam. Just click the listen button, and then wait a few seconds. It will magically return the title and artist of that song! . Note that mousai connects to a non-free API (audd.io) to query the songs. . Mousai is a GNOME Circle app. Package: mp4h Description-md5: 50dc119daee9730d3000394b409596f6 Description-en: Macro processor for HTML documents Mp4h is a macro processor specifically designed for HTML documents, with powerful programming features. It allows definition and expansion of new tags with a syntax familiar to HTML authors. . Mp4h is a core component of the Website Meta Language (WML). Package: mptcpd Description-md5: 670c82a26bad83c04582465309d367b4 Description-en: Multipath TCP Daemon The Multipath TCP Daemon - mptcpd - is a daemon for Linux based operating systems that performs Multipath TCP path management related operations in the user space. . It interacts with the Linux kernel through a generic Netlink connection to track per-connection information (e.g. available remote addresses), available network interfaces, request new MPTCP subflows, handle requests for subflows, etc. . By default, this daemon will load the 'addr_adv' plugin from the 'mptcpd-plugins' package, which will add MPTCP endpoints with the 'subflow' flag ("client" mode) for the default in-kernel path-manager. Note that this is something NetworkManager does by default. Having several daemons configuring the MPTCP endpoints at the same time should be avoided. This daemon is usually recommended when NetworkManager is not available, or when advanced per-connection path management is needed, using the userspace path-manager and a custom-made made plugin using the C API. Package: mptcpd-plugins Description-md5: 9d6c534eb9e96d27bebde2a00b191758 Description-en: Multipath TCP Daemon Plug-ins The Multipath TCP Daemon Plug-ins package is a collection of plugins for mptcpd, short for Multipath TCP Daemon. These plugins can be used to perform Multipath TCP path management related operations in the user space. . The daemon interacts with the Linux kernel through a generic Netlink connection to track per-connection information (e.g. available remote addresses), available network interfaces, request new MPTCP subflows, handle requests for subflows, etc. Plugins can react on events and requests path management related operations to create subflows, advertise addresses, etc. Package: mptcpize Description-md5: 30c175f639e7dfa5b09d3d72ce2f5392 Description-en: Multipath TCP Converter The Multipath TCP Converter tool - mptcpize - helps to enable MPTCP usage on unmodified legacy services. It can run programs forcing MPTCP socket usage instead of TCP. It can also update systemd units to force them to run under mptcpize launcher. . To use MPTCP on a Linux kernel, it is required to explicitly create an MPTCP socket. In theory, it is then needed to modify an existing program to benefit from MPTCP. In practice, 'socket()' syscall can be modified to create an MPTCP socket instead of TCP. This is done with the help of libmptcpwrap and LD_PRELOAD. Package: mumps-test Description-md5: 8d200f9cb9fe070eeca2c4e4e51c0ab7 Description-en: Example/test binaries using MUMPS MUMPS implements a direct solver for large sparse linear systems, with a particular focus on symmetric positive definite matrices. It can operate on distributed matrices e.g. over a cluster. It has Fortran and C interfaces, and can interface with ordering tools such as Scotch. Package: murasaki Description-md5: 9fbbfa64297c484a9a25437e99fbe210 Description-en: homology detection tool across multiple large genomes Murasaki is a scalable and fast, language theory-based homology detection tool across multiple large genomes. It enable whole-genome scale multiple genome global alignments. Supports unlimited length gapped-seed patterns and unique TF-IDF based filtering. . Murasaki is an anchor alignment software, which is * exteremely fast (17 CPU hours for whole Human x Mouse genome (with 40 nodes: 52 wall minutes)) * scalable (Arbitrarily parallelizable across multiple nodes using MPI. Even a single node with 16GB of ram can handle over 1Gbp of sequence.) * unlimited pattern length * repeat tolerant * intelligent noise reduction Package: murasaki-common Description-md5: 83942f5e622632232421f3dab2bca8d2 Description-en: homology detection tool across multiple large genomes (common files) Murasaki is a scalable and fast, language theory-based homology detection tool across multiple large genomes. It enable whole-genome scale multiple genome global alignments. Supports unlimited length gapped-seed patterns and unique TF-IDF based filtering. . Murasaki is an anchor alignment software, which is * exteremely fast (17 CPU hours for whole Human x Mouse genome (with 40 nodes: 52 wall minutes)) * scalable (Arbitrarily parallelizable across multiple nodes using MPI. Even a single node with 16GB of ram can handle over 1Gbp of sequence.) * unlimited pattern length * repeat tolerant * intelligent noise reduction . This package contains all files that are common to the single core murasaki package and the multi core murasaki-mpi package. Package: murasaki-mpi Description-md5: 84e98b9662e20d838ebe31e69f3bca4b Description-en: homology detection tool across multiple large genomes (MPI-version) Murasaki is a scalable and fast, language theory-based homology detection tool across multiple large genomes. It enable whole-genome scale multiple genome global alignments. Supports unlimited length gapped-seed patterns and unique TF-IDF based filtering. . Murasaki is an anchor alignment software, which is * exteremely fast (17 CPU hours for whole Human x Mouse genome (with 40 nodes: 52 wall minutes)) * scalable (Arbitrarily parallelizable across multiple nodes using MPI. Even a single node with 16GB of ram can handle over 1Gbp of sequence.) * unlimited pattern length * repeat tolerant * intelligent noise reduction . This package provides the MPI-enabled binary for murasaki. While this will speed up operation on multi-processor machines it will slow down on a single processor. Package: music-bin Description-md5: 6c03fc32ee10374b36503b9f516a5172 Description-en: Multi-Simulation Coordinator for MPI -- Utilities MUSIC allows spike events and continuous time series to be communicated between parallel applications within the same MPI job in a cluster computer. Typical usage cases are connecting models developed for different simulators and connecting a parallel simulator to a post-processing tool. . This package contains the MUSIC launch utility. Package: music-doc Description-md5: bfb6ac479d00a2ef9f046a882e5fa4db Description-en: Multi-Simulation Coordinator for MPI -- Manual MUSIC allows spike events and continuous time series to be communicated between parallel applications within the same MPI job in a cluster computer. Typical usage cases are connecting models developed for different simulators and connecting a parallel simulator to a post-processing tool. . This package contains the MUSIC manual. Package: mypy Description-md5: d3e201c7c5775a9d8fc37033fa52a4bb Description-en: optional static typing for Python Add type annotations to your Python programs, and use mypy to type check them. Mypy is essentially a Python linter on steroids, and it can catch many programming errors by analyzing your program, without actually having to run it. Mypy has a powerful type system with features such as type inference, gradual typing, generics and union types. . This package provides the command-line interface. Package: mypy-doc Description-md5: 90ecb0fecb59e09596684d6f30a5022c Description-en: documentation for mypy Add type annotations to your Python programs, and use mypy to type check them. Mypy is essentially a Python linter on steroids, and it can catch many programming errors by analyzing your program, without actually having to run it. Mypy has a powerful type system with features such as type inference, gradual typing, generics and union types. . This package provides the documentation. Package: mysql-client-core Description-md5: 94a7aede8ba9259b1382d4dfca4cdde0 Description-en: MySQL database core client binaries MySQL is a fast, stable and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the core client files, as used by Akonadi. Package: mysql-router Description-md5: 68df088e13493c106d4f76a2eb4efc60 Description-en: route connections from MySQL clients to MySQL servers MySQL Router is part of InnoDB cluster, and is lightweight middleware that provides transparent routing between your application and back-end MySQL servers. It can be used for a wide variety of use cases, such as providing high availability and scalability by effectively routing database traffic to appropriate back-end MySQL servers. The pluggable architecture also enables developers to extend MySQL Router for custom use cases. . Since MySQL Router is clustering software and needs to be configured and managed across an entire cluster, packaging cannot manage it for you. This package supplies the binary build only, ready for manual configuration. Package: mysql-server-core Description-md5: a54aa75db64667c487b6c9d3800b8153 Description-en: MySQL database server binaries MySQL is a fast, stable and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the server binaries but doesn't contain all the infrastructure needed to setup system databases. Package: mysql-source Description-md5: 88f58941059fab032315a68e3122ac60 Description-en: MySQL source MySQL is a fast, stable, and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the MySQL source code as configured before building - but after the arch specific configuration. This is currently required for building plugins. Package: mysql-testsuite Description-md5: 182146ce5ac7c0106d3e245665a91d48 Description-en: MySQL regression tests MySQL is a fast, stable, and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the MySQL testsuite. Package: ncl-ncarg Description-md5: f7c7da9bee6b463205b6a0274f19d551 Description-en: NCAR Command Language and NCAR graphics The NCAR Command Language (NCL) is a free interpreted language designed specifically for scientific data processing and visualization. NCL has robust file input and output. It can read and write netCDF-3, netCDF-4 classic HDF4, HDF5, binary, and ASCII data, and read HDF-EOS2, GRIB1 and GRIB2. The graphics are world class and highly customizable. . The software comes with a couple of useful command line tools: * ncl_filedump - prints the contents of supported files (netCDF, HDF, GRIB1, GRIB2, HDF-EOS2, and CCM History Tape) * ncl_convert2nc - converts one or more GRIB1, GRIB2, HDF and/or HDF-EOS iles to netCDF formatted files. Package: netgen Description-md5: fa19cf7e23e3c6f2e89e1cd6a2aae4e5 Description-en: Automatic 3d tetrahedral mesh generator NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. Package: netgen-doc Description-md5: 7c78a11c9416178ad608a1ed33d0e929 Description-en: Automatic 3d tetrahedral mesh generator documentation NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. . This package contains the user manual (in PDF format) and example files. Package: netgen-headers Description-md5: acad9649eee77b9bd5e354fd96169527 Description-en: Automatic 3d tetrahedral mesh generator internal headers NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. The connection to a geometry kernel allows the handling of IGES and STEP files. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. . This package contains internal headers. Package: newrole Description-md5: 2bc7c38448c1271bb1b11fcd9d04a91b Description-en: SELinux core policy utilities (newrole application for RBAC/MLS) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . RBAC/MLS policy machines require newrole as a way of changing the role or level of a logged in user. . This package contains newrole to switch roles, run_init to run /etc/init.d scripts in the proper context. Package: nfdump Description-md5: 4fa77d0d490891adcde185fa1cc34138 Description-en: netflow capture daemon Collects and processes netflow data with command line tools. Collected netflow data is stored in filesystem and is limited by available storage space only. Tools are optimized for speed and filtering. nfcapd reads netflow v5, v7, v9 and IPFIX flows transparently. You need one nfcapd process for each netflow stream. Package: nfdump-sflow Description-md5: a117d3b23094754849df79bc829ee86f Description-en: sflow collector daemon sfcapd collects sflow data and stores it into nfcapd compatible files. Package: nodejs Description-md5: 0d0bbaed314d7d26588d112ee4ede074 Description-en: evented I/O for V8 javascript - runtime executable Node.js is a platform built on Chrome's JavaScript runtime for easily building fast, scalable network applications. Node.js uses an event-driven, non-blocking I/O model that makes it lightweight and efficient, perfect for data-intensive real-time applications that run across distributed devices. . Node.js is bundled with several useful libraries to handle server tasks: . System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX, HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings. Package: nodejs-doc Description-md5: f6ac623e9b900beab3517ba17914bb07 Description-en: API documentation for Node.js, the javascript platform Node.js is a platform built on Chrome's JavaScript runtime for easily building fast, scalable network applications. Node.js uses an event-driven, non-blocking I/O model that makes it lightweight and efficient, perfect for data-intensive real-time applications that run across distributed devices. . Node.js is bundled with several useful libraries to handle server tasks: . System, Events, Standard I/O, Modules, Timers, Child Processes, POSIX, HTTP, Multipart Parsing, TCP, DNS, Assert, Path, URL, Query Strings. . This package contains API documentation for Node.js. Package: nqp Description-md5: df708fee397b23813f9d67d7fb8f6703 Description-en: Not Quite Perl compiler A bootstrapping compiler for code that is like Raku (previously Perl 6). The key feature of NQP is that it's designed to be a very small compiler featuring the Raku object model and as a high-level way to create bytecode and modules for virtual machines (such as the JVM, and MoarVM). . Unlike a full-fledged implementation of Raku, NQP strives to have as small a runtime footprint as it can, while still providing a Raku object model and grammar engine for the virtual machine. Package: nqp-data Description-md5: f8ecbe3d6eeda34554d332c0603cc595 Description-en: Data files for NQP compiler A bootstrapping compiler for code that is like Raku (previously Perl 6). The key feature of NQP is that it's designed to be a very small compiler featuring the Raku object model and as a high-level way to create bytecode and modules for virtual machines (such as the JVM, and MoarVM). . Unlike a full-fledged implementation of Raku, NQP strives to have as small a runtime footprint as it can, while still providing a Raku object model and grammar engine for the virtual machine. . This package contains the architecture independent files (mostly the files compiled for Moar VM) Package: numba-doc Description-md5: c0dcfd237d66973e0d104bb73c082a8e Description-en: native machine code compiler for Python (docs) Numba compiles native machine code instructions from Python programs at runtime using the LLVM compiler infrastructure. Just-in-time compilation with Numba could be easily employed by decorating individual computation intensive functions in the Python code. Numba could significantly speed up the performance of computations, and optionally supports compilation to run on GPU processors through Nvidia's CUDA platform. It integrates well with the Python scientific software stack, and especially recognizes Numpy arrays. . This package contains the documentation and examples. Package: nwchem Description-md5: bbd2b6cb9a9a3159b07de8b6bcb3f618 Description-en: High-performance computational chemistry software (default MPI) NWChem is a computational chemistry program package. It provides methods which are scalable both in their ability to treat large scientific computational chemistry problems efficiently, and in their use of available parallel computing resources from high-performance parallel supercomputers to conventional workstation clusters. . NWChem can handle: . * Molecular electronic structure methods using gaussian basis functions for high-accuracy calculations of molecules * Pseudopotentials plane-wave electronic structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals * Ab-initio and classical molecular dynamics simulations * Mixed quantum-classical simulations * Parallel scaling to thousands of processors . Features include: * Molecular electronic structure methods, analytic second derivatives: - Restricted/unrestricted Hartree-Fock (RHF, UHF) - Restricted Density Functional Theory (DFT) using many local, non-local (gradient-corrected) or hybrid (local, non-local, and HF) exchange-correlation potentials * Molecular electronic structure methods, analytic gradients: - Restricted open-shell Hartree-Fock (ROHF) - Unrestricted Density Functional Theory (DFT) - Second-order Moeller-Plesset perturbation theory (MP2), using RHF and UHF reference - MP2 with resolution of the identity approximation (RI-MP2) - Complete active space SCF (CASSCF) - Time-Dependent Density Functional Theory (TDDFT) * Molecular electronic structure methods, single-point energies: - MP2 spin-component scaled approach (SCS-MP2) - Coupled cluster singles and doubles, triples or pertubative triples (CCSD, CCSDT, CCSD(T)), with RHF and UHF reference - Configuration interaction (CISD, CISDT, and CISDTQ) - Second-order approximate coupled-cluster singles doubles (CC2) - State-specific multireference coupled cluster methods (MRCC) (Brillouin-Wigner (BW-MRCC) and Mukherjee (Mk-MRCC) approaches) * Further molecular electronic structure features: - Geometry optimization including transition state searches, constraints and minimum energy paths (via the Nudged Elastic Band (NEB) and Zero Temperature String methods) - Vibrational frequencies - Equation-of-motion (EOM)-CCSD, EOM-CCSDT, EOM-CCSD(T), CC2, Configuration-Interaction singles (CIS), time-dependent HF (TDHF) and TDDFT, for excited states with RHF, UHF, RDFT, or UDFT reference - Solvatisation using the Conductor-like screening model (COSMO) for RHF, ROHF and DFT, including analytical gradients - Hybrid calculations using the two- and three-layer ONIOM method - Relativistic effects via spin-free and spin-orbit one-electron Douglas-Kroll and zeroth-order regular approximations (ZORA) and one-electron spin-orbit effects for DFT via spin-orbit potentials * Pseudopotential plane-wave electronic structure: - Pseudopotential Plane-Wave (PSPW), Projector Augmented Wave (PAW) or band structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals - Geometry/unit cell optimization including transition state searches - Vibrational frequencies - LDA, PBE96, and PBE0 exchange-correlation potentials (restricted and unrestricted) - SIC, pert-OEP, Hartree-Fock, and hybrid functionals (restricted and unrestricted) - Hamann, Troullier-Martins and Hartwigsen-Goedecker-Hutter norm-conserving pseudopotentials with semicore corrections - Wavefunction, density, electrostatic and Wannier plotting - Band structure and density of states generation * Car-Parrinello ab-initio molecular dynamics (CPMD): - Constant energy and constant temperature dynamics - Verlet algorithm for integration - Geometry constraints in cartesian coordinates * Classical molecular dynamics (MD): - Single configuration energy evaluation - Energy minimization - Molecular dynamics simulation - Free energy simulation (multistep thermodynamic perturbation (MSTP) or multiconfiguration thermodynamic integration (MCTI) methods with options of single and/or dual topologies, double wide sampling, and separation- shifted scaling) - Force fields providing effective pair potentials, first order polarization, self consistent polarization, smooth particle mesh Ewald (SPME), periodic boundary conditions and SHAKE constraints * Mixed quantum-classical: - Mixed quantum-mechanics and molecular-mechanics (QM/MM) minimizations and molecular dynamics simulations - Quantum molecular dynamics simulation by using any of the quantum mechanical methods capable of returning gradients. . This package provides example input scripts and depends on nwchem built for the default MPI implementation for the architecture. . The default MPI is openmpi for most 64-bit debian systems. OpenMPI has known problems running nwchem over multiple nodes. If you need to compute large molecules using cluster computation, you may want to use the MPICH build provided by nwchem-mpich instead. 32-bit arches use mpich only. Package: nwchem-data Description-md5: f2cac7e572de372c581eaf03e0dd5fc8 Description-en: High-performance computational chemistry software (data files) NWChem is a computational chemistry program package. It provides methods which are scalable both in their ability to treat large scientific computational chemistry problems efficiently, and in their use of available parallel computing resources from high-performance parallel supercomputers to conventional workstation clusters. . This package contains the basis sets, pseudopotentials and AMBER/CHARMM parameter files. Package: nwchem-mpich Description-md5: 1329271868184a66e4abe540c30fd35e Description-en: High-performance computational chemistry software (MPICH build) NWChem is a computational chemistry program package. It provides methods which are scalable both in their ability to treat large scientific computational chemistry problems efficiently, and in their use of available parallel computing resources from high-performance parallel supercomputers to conventional workstation clusters. . NWChem can handle: . * Molecular electronic structure methods using gaussian basis functions for high-accuracy calculations of molecules * Pseudopotentials plane-wave electronic structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals * Ab-initio and classical molecular dynamics simulations * Mixed quantum-classical simulations * Parallel scaling to thousands of processors . Features include: * Molecular electronic structure methods, analytic second derivatives: - Restricted/unrestricted Hartree-Fock (RHF, UHF) - Restricted Density Functional Theory (DFT) using many local, non-local (gradient-corrected) or hybrid (local, non-local, and HF) exchange-correlation potentials * Molecular electronic structure methods, analytic gradients: - Restricted open-shell Hartree-Fock (ROHF) - Unrestricted Density Functional Theory (DFT) - Second-order Moeller-Plesset perturbation theory (MP2), using RHF and UHF reference - MP2 with resolution of the identity approximation (RI-MP2) - Complete active space SCF (CASSCF) - Time-Dependent Density Functional Theory (TDDFT) * Molecular electronic structure methods, single-point energies: - MP2 spin-component scaled approach (SCS-MP2) - Coupled cluster singles and doubles, triples or pertubative triples (CCSD, CCSDT, CCSD(T)), with RHF and UHF reference - Configuration interaction (CISD, CISDT, and CISDTQ) - Second-order approximate coupled-cluster singles doubles (CC2) - State-specific multireference coupled cluster methods (MRCC) (Brillouin-Wigner (BW-MRCC) and Mukherjee (Mk-MRCC) approaches) * Further molecular electronic structure features: - Geometry optimization including transition state searches, constraints and minimum energy paths (via the Nudged Elastic Band (NEB) and Zero Temperature String methods) - Vibrational frequencies - Equation-of-motion (EOM)-CCSD, EOM-CCSDT, EOM-CCSD(T), CC2, Configuration-Interaction singles (CIS), time-dependent HF (TDHF) and TDDFT, for excited states with RHF, UHF, RDFT, or UDFT reference - Solvatisation using the Conductor-like screening model (COSMO) for RHF, ROHF and DFT, including analytical gradients - Hybrid calculations using the two- and three-layer ONIOM method - Relativistic effects via spin-free and spin-orbit one-electron Douglas-Kroll and zeroth-order regular approximations (ZORA) and one-electron spin-orbit effects for DFT via spin-orbit potentials * Pseudopotential plane-wave electronic structure: - Pseudopotential Plane-Wave (PSPW), Projector Augmented Wave (PAW) or band structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals - Geometry/unit cell optimization including transition state searches - Vibrational frequencies - LDA, PBE96, and PBE0 exchange-correlation potentials (restricted and unrestricted) - SIC, pert-OEP, Hartree-Fock, and hybrid functionals (restricted and unrestricted) - Hamann, Troullier-Martins and Hartwigsen-Goedecker-Hutter norm-conserving pseudopotentials with semicore corrections - Wavefunction, density, electrostatic and Wannier plotting - Band structure and density of states generation * Car-Parrinello ab-initio molecular dynamics (CPMD): - Constant energy and constant temperature dynamics - Verlet algorithm for integration - Geometry constraints in cartesian coordinates * Classical molecular dynamics (MD): - Single configuration energy evaluation - Energy minimization - Molecular dynamics simulation - Free energy simulation (multistep thermodynamic perturbation (MSTP) or multiconfiguration thermodynamic integration (MCTI) methods with options of single and/or dual topologies, double wide sampling, and separation- shifted scaling) - Force fields providing effective pair potentials, first order polarization, self consistent polarization, smooth particle mesh Ewald (SPME), periodic boundary conditions and SHAKE constraints * Mixed quantum-classical: - Mixed quantum-mechanics and molecular-mechanics (QM/MM) minimizations and molecular dynamics simulations - Quantum molecular dynamics simulation by using any of the quantum mechanical methods capable of returning gradients. . This package provides nwchem built with MPICH. It is expected to run nwchem successfully over multiple nodes. If you need to compute large molecules using cluster computation, then this package might be a better choice than nwchem-openmpi. Package: nwchem-openmpi Description-md5: eed3daa9b150455e24c0e1cb76475134 Description-en: High-performance computational chemistry software (OpenMPI build) NWChem is a computational chemistry program package. It provides methods which are scalable both in their ability to treat large scientific computational chemistry problems efficiently, and in their use of available parallel computing resources from high-performance parallel supercomputers to conventional workstation clusters. . NWChem can handle: . * Molecular electronic structure methods using gaussian basis functions for high-accuracy calculations of molecules * Pseudopotentials plane-wave electronic structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals * Ab-initio and classical molecular dynamics simulations * Mixed quantum-classical simulations * Parallel scaling to thousands of processors . Features include: * Molecular electronic structure methods, analytic second derivatives: - Restricted/unrestricted Hartree-Fock (RHF, UHF) - Restricted Density Functional Theory (DFT) using many local, non-local (gradient-corrected) or hybrid (local, non-local, and HF) exchange-correlation potentials * Molecular electronic structure methods, analytic gradients: - Restricted open-shell Hartree-Fock (ROHF) - Unrestricted Density Functional Theory (DFT) - Second-order Moeller-Plesset perturbation theory (MP2), using RHF and UHF reference - MP2 with resolution of the identity approximation (RI-MP2) - Complete active space SCF (CASSCF) - Time-Dependent Density Functional Theory (TDDFT) * Molecular electronic structure methods, single-point energies: - MP2 spin-component scaled approach (SCS-MP2) - Coupled cluster singles and doubles, triples or pertubative triples (CCSD, CCSDT, CCSD(T)), with RHF and UHF reference - Configuration interaction (CISD, CISDT, and CISDTQ) - Second-order approximate coupled-cluster singles doubles (CC2) - State-specific multireference coupled cluster methods (MRCC) (Brillouin-Wigner (BW-MRCC) and Mukherjee (Mk-MRCC) approaches) * Further molecular electronic structure features: - Geometry optimization including transition state searches, constraints and minimum energy paths (via the Nudged Elastic Band (NEB) and Zero Temperature String methods) - Vibrational frequencies - Equation-of-motion (EOM)-CCSD, EOM-CCSDT, EOM-CCSD(T), CC2, Configuration-Interaction singles (CIS), time-dependent HF (TDHF) and TDDFT, for excited states with RHF, UHF, RDFT, or UDFT reference - Solvatisation using the Conductor-like screening model (COSMO) for RHF, ROHF and DFT, including analytical gradients - Hybrid calculations using the two- and three-layer ONIOM method - Relativistic effects via spin-free and spin-orbit one-electron Douglas-Kroll and zeroth-order regular approximations (ZORA) and one-electron spin-orbit effects for DFT via spin-orbit potentials * Pseudopotential plane-wave electronic structure: - Pseudopotential Plane-Wave (PSPW), Projector Augmented Wave (PAW) or band structure methods for calculating molecules, liquids, crystals, surfaces, semi-conductors or metals - Geometry/unit cell optimization including transition state searches - Vibrational frequencies - LDA, PBE96, and PBE0 exchange-correlation potentials (restricted and unrestricted) - SIC, pert-OEP, Hartree-Fock, and hybrid functionals (restricted and unrestricted) - Hamann, Troullier-Martins and Hartwigsen-Goedecker-Hutter norm-conserving pseudopotentials with semicore corrections - Wavefunction, density, electrostatic and Wannier plotting - Band structure and density of states generation * Car-Parrinello ab-initio molecular dynamics (CPMD): - Constant energy and constant temperature dynamics - Verlet algorithm for integration - Geometry constraints in cartesian coordinates * Classical molecular dynamics (MD): - Single configuration energy evaluation - Energy minimization - Molecular dynamics simulation - Free energy simulation (multistep thermodynamic perturbation (MSTP) or multiconfiguration thermodynamic integration (MCTI) methods with options of single and/or dual topologies, double wide sampling, and separation- shifted scaling) - Force fields providing effective pair potentials, first order polarization, self consistent polarization, smooth particle mesh Ewald (SPME), periodic boundary conditions and SHAKE constraints * Mixed quantum-classical: - Mixed quantum-mechanics and molecular-mechanics (QM/MM) minimizations and molecular dynamics simulations - Quantum molecular dynamics simulation by using any of the quantum mechanical methods capable of returning gradients. . This package provides nwchem built with OpenMPI. . OpenMPI has known problems running nwchem over multiple nodes. If you need to compute large molecules using cluster computation, you may want to use the MPICH build provided by nwchem-mpich instead. Package: nwipe Description-md5: 4c9098ef9191f65068f0701d3db2f71c Description-en: Utility to securely erase disks Nwipe is a command that will securely erase disks using a variety of recognised methods. It is a fork of the dwipe command used by Darik's Boot and Nuke (DBAN). Package: octave-pfstools Description-md5: e70318b67b9c2f5a91a7931e373082d1 Description-en: octave bindings for pfstools PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. . This package contains octave bindings to read and write PFS files. Package: odr-padenc Description-md5: f9c37cf2fcf329c157ae942396e5eba4 Description-en: This is an encoder for Programme Associated Data ODR-PadEnc is an encoder for Programme Associated Data (PAD) and includes support for: . - MOT Slideshow (including catSLS), according to ETSI EN 301 234 and TS 101 499 . - DLS (including DL Plus), according to ETSI EN 300 401 and TS 102 980 . To encode DLS and Slideshow data, the odr-padenc tool reads images from a folder and DLS text from a file, and generates the PAD data for the encoder. Package: openal-tools Description-md5: 72e48984773700c1850d582069079462 Description-en: Utilities for the OpenAL audio API These utilities are useful in diagnosing OpenAL related issues and for displaying helpful informationa about what is supported on your system with OpenAL. . This package installs additional OpenAL Soft related binaries. Package: openapi-specification Description-md5: f4f8f6f2ee04836cc6bd0df42f4239a2 Description-en: community-driven open specification within the OpenAPI Initiative The OpenAPI Specification (OAS) defines a standard, programming language-agnostic interface description for HTTP APIs, which allows both humans and computers to discover and understand the capabilities of a service without requiring access to source code, additional documentation, or inspection of network traffic. When properly defined via OpenAPI, a consumer can understand and interact with the remote service with a minimal amount of implementation logic. Similar to what interface descriptions have done for lower-level programming, the OpenAPI Specification removes guesswork in calling a service. Package: opencl-c-headers Description-md5: 89116feef89a3156b5eb495c7335314f Description-en: OpenCL (Open Computing Language) C header files OpenCL (Open Computing Language) is a multi-vendor open standard for general-purpose parallel programming of heterogeneous systems that include CPUs, GPUs and other processors. . This package provides the C development header files for the OpenCL API as published by The Khronos Group Inc. The corresponding specification and documentation can be found on the Khronos website. Package: opencl-clhpp-headers Description-md5: 5883f386280d436fba392049606cb330 Description-en: C++ headers for OpenCL development OpenCL (Open Computing Language) is a multi-vendor open standard for general-purpose parallel programming of heterogeneous systems that include CPUs, GPUs and other processors. . This package provides the C++ development header files for the OpenCL API as published by The Khronos Group Inc. The corresponding specification and documentation can be found on the Khronos website. Package: opencl-clhpp-headers-doc Description-md5: dbc7eebe78722277d9b2b75d742101d4 Description-en: documentation for C++ OpenCL headers OpenCL (Open Computing Language) is a multi-vendor open standard for general-purpose parallel programming of heterogeneous systems that include CPUs, GPUs and other processors. . This package provides the documentation of the C++ development header files for the OpenCL API as published by The Khronos Group Inc. Package: opencl-headers Description-md5: 6d11af62fe085fbe0f444907729774d5 Description-en: OpenCL (Open Computing Language) header files OpenCL (Open Computing Language) is a multi-vendor open standard for general-purpose parallel programming of heterogeneous systems that include CPUs, GPUs and other processors. . This metapackage depends on packages providing the C and C++ headers files for the OpenCL API as published by The Khronos Group Inc. The corresponding specification and documentation can be found on the Khronos website. Package: opendrop Description-md5: 22f347a4b138d2ff6bac9108192a65ac Description-en: fully-featured pendant drop tensiometry software OpenDrop is a fully-featured pendant drop tensiometry software, allowing acquisition, analysis and fitting of pendant drop profiles to obtain surface and interfacial tension. OpenDrop also includes functionality for measuring sessile drop contact angles. Package: opendrop-doc Description-md5: 1929e41a3cc684b0f4100c2ee4e2359e Description-en: fully-featured pendant drop tensiometry software (documentation) OpenDrop is a fully-featured pendant drop tensiometry software, allowing acquisition, analysis and fitting of pendant drop profiles to obtain surface and interfacial tension. OpenDrop also includes functionality for measuring sessile drop contact angles. . This is the documentation package for OpenDrop. Package: openmpi-bin Description-md5: 1a00d4dd7be41a0a9fd2a922b4135736 Description-en: high performance message passing library -- binaries Open MPI is a project combining technologies and resources from several other projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best MPI library available. A completely new MPI-3.1 compliant implementation, Open MPI offers advantages for system and software vendors, application developers and computer science researchers. . Features: * Full MPI-3.1 standards conformance * Thread safety and concurrency * Dynamic process spawning * High performance on all platforms * Reliable and fast job management * Network and process fault tolerance * Support network heterogeneity * Single library supports all networks * Run-time instrumentation * Many job schedulers supported * Internationalized error messages * Component-based design, documented APIs . This package contains the Open MPI utility programs. Package: openmpi-common Description-md5: b52283604f1697ba67223f6535351fda Description-en: high performance message passing library -- common files Open MPI is a project combining technologies and resources from several other projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best MPI library available. A completely new MPI-3.1 compliant implementation, Open MPI offers advantages for system and software vendors, application developers and computer science researchers. . This package contains platform independent files for Open MPI. Package: openmpi-doc Description-md5: 430b4b29fb2456337a6cdb19e1a4a64b Description-en: high performance message passing library -- man pages Open MPI is a project combining technologies and resources from several other projects (FT-MPI, LA-MPI, LAM/MPI, and PACX-MPI) in order to build the best MPI library available. A completely new MPI-3.1 compliant implementation, Open MPI offers advantages for system and software vendors, application developers and computer science researchers. . This package contains man pages describing the Message Passing Interface standard. Package: openshift-imagebuilder Description-md5: 4c3d3e84df058f4cf7c03dc1e52b02a5 Description-en: Builds container images using Dockerfile as input This package supports using the Dockerfile syntax to build OCI & Docker compatible images, without invoking a container build command such as buildah bud or docker build. It is intended to give clients more control over how they build container images, including: - Instead of building one layer per line, run all instructions in the same container - Set HostConfig settings like network and memory controls that are not available when running container builds - Mount external files into the build that are not persisted as part of the final image (i.e. "secrets") - If there are no RUN commands in the Dockerfile, the container is created and committed, but never started. The final image should be 99.9% compatible with regular container builds, but bugs are always possible. . This package provides the imagebuilder command-line utility. Package: ott-tools Description-md5: c8db65010fca656dfccaba10cb964a11 Description-en: Ott tool (executable) Ott is a tool to write definitions of programming languages and calculi. From the definition of a language syntex and semanticsin a concise and readable notation close to informal mathematics, it can provide LaTeX, Coq, HOL, Isabelle/HOL, Lem or OCaml versions of the definition. . Coq is a proof assistant for higher-order logic. . This package provides the executable. Package: packagekit-command-not-found Description-md5: f46269a57fdaac0b45bc1962744cdaf3 Description-en: Offer to install missing programs automatically PackageKit allows performing simple software management tasks over a DBus interface e.g refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package contains a simple handler for command_not_found that offers to install missing packages on the command line using PackageKit. Package: packagekit-gtk3-module Description-md5: b4094b413124ff3eeddd6dcdb91ab037 Description-en: Install fonts automatically using PackageKit PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . The PackageKit GTK+ module allows any Pango application to install fonts from configured repositories using PackageKit. Package: pacman.c Description-md5: a65515379db8ff8c0816ec2c08a6cd53 Description-en: simple Pacman clone This is a Pacman clone written in C99 with minimal dependencies. Package: pandoc-data Description-md5: ebc0041f8ce654d7a7ea4d1797a63c91 Description-en: Conversion between markup formats Pandoc is a Haskell library for converting from one markup format to another. The formats it can handle include . - light markup formats (many variants of Markdown, reStructuredText, AsciiDoc, Org-mode, Muse, Textile, txt2tags) - HTML formats (HTML 4 and 5) - Ebook formats (EPUB v2 and v3, FB2) - Documentation formats (GNU TexInfo, Haddock) - Roff formats (man, ms) - TeX formats (LaTeX, ConTeXt) - XML formats (DocBook 4 and 5, JATS, TEI Simple, OpenDocument) - Outline formats (OPML) - Bibliography formats (BibTeX, BibLaTeX, CSL JSON, CSL YAML, RIS) - Word processor formats (Docx, RTF, ODT) - Interactive notebook formats (Jupyter notebook ipynb) - Page layout formats (InDesign ICML) - Wiki markup formats (MediaWiki, DokuWiki, TikiWiki, TWiki, Vimwiki, XWiki, ZimWiki, Jira wiki, Creole) - Slide show formats (LaTeX Beamer, PowerPoint, Slidy, reveal.js, Slideous, S5, DZSlides) - Data formats (CSV and TSV tables) - PDF (via external programs such as pdflatex or wkhtmltopdf) . Pandoc can convert mathematical content in documents between TeX, MathML, Word equations, roff eqn, and plain text. It includes a powerful system for automatic citations and bibliographies, and it can be customized extensively using templates, filters, and custom readers and writers written in Lua. Package: parsec-service Description-md5: b56b6f65d695483e33bb875286a6cb4e Description-en: Abstraction layer for secure storage and operations Parsec is an abstraction layer that can be used to interact with hardware-backed security facilities such as the Hardware Security Module (HSM), the Trusted Platform Module (TPM), as well as firmware-backed and isolated software services. . The core component of Parsec is the security service, provided by this package. The service is a background process that runs on the host platform and provides connectivity with the secure facilities of that host, exposing a platform-neutral API that can be consumed into different programming languages using a client library. For a client library implemented in Rust see the package librust-parsec-interface-dev. Package: parsec-tool Description-md5: ece6e76567d253491aed557ce07b86e8 Description-en: Command line tool to communicate with the Parsec service Parsec is an abstraction layer that can be used to interact with hardware-backed security facilities such as the Hardware Security Module (HSM), the Trusted Platform Module (TPM), firmware-backed, and isolated software services. . This package provides the command line tool to communicate with the Parsec service. Package: pass-extension-audit Description-md5: 76ebb33a81f328fd2d033980362e1c3b Description-en: Pass extension for auditing your password repository pass audit is a password-store extension for auditing your passwordstore repository. Passwords will be checked against the Python implementation of Dropbox' zxcvbn algorithm and Troy Hunt's Have I Been Pwned Service. It supports safe breached password detection from haveibeenpwned.com using a K-anonymity method. Using this method, you do not need to (fully) trust the server that stores the breached password. You should read the security consideration section for more information. . This package installs the pass extension Package: pcl-tools Description-md5: 6e1d2aa8ca6b9b434f4af48dd9decfe2 Description-en: Point Cloud Library - point cloud processing tools The Point Cloud Library (PCL) is a standalone, large scale, open project for 2D/3D image and point cloud processing. . The PCL framework contains numerous state-of-the art algorithms including filtering, feature estimation, surface reconstruction, registration, model fitting and segmentation. . This package gathers tools bundled with PCL to process point clouds. Package: pd-deken Description-md5: 92dc840f7e116673e65424f0a8440fde Description-en: Externals wrangler for Pure Data deken is a simple and easy to use package manager for Pure Data (Pd). . The deken-plugin integrates with the Pd user interface, where you can search for externals and install them with a single click. Since Pd-0.47, the deken-plugin is integrated into Pure Data (but the Debian package provides an updated plugin) . Available backends that provide packages are: - puredata.info (downloads packages via the Pure Data community website and installs them into the per-user installation directory (~/pd-externals)) - apt (installs Pd packages the Debian way; packaged as "pd-deken-apt") Package: pd-deken-apt Description-md5: 409781c90d6f5ef0a13c6caf8ccaa6cd Description-en: Externals wrangler for Pure Data (APT backend) deken is a simple and easy to use package manager for Pure Data (Pd). . The deken-plugin integrates with the Pd user interface, where you can search for externals and install them with a single click. . This addon allows one to additionally use 'apt' for installing Debian provided packages from within the deken-plugin (rather than downloading binaries from the Pure Data community website). Package: pdfsandwich Description-md5: fad5987145b5c53d17bb2b7fa770242e Description-en: Tool to generate "sandwich" OCR pdf files pdfsandwich generates "sandwich" OCR pdf files, i.e. pdf files which contain only images (no text) will be processed by optical character recognition (OCR) and the text will be added to each page invisibly "behind" the images. pdfsandwich is a command line tool which is supposed to be useful to OCR scanned books or journals. . It is able to recognize the page layout even for multicolumn text. . Essentially, pdfsandwich is a wrapper script which calls the following binaries: convert, unpaper, gs (only for psd resizing), hocr2pdf (for tesseract < 3.03), and tesseract. Package: perlmagick Description-md5: 1f334da72af0f0bfe00d5b5136fed526 Description-en: Perl interface to ImageMagick -- dummy package PerlMagick is an objected-oriented Perl interface to ImageMagick. Use the module to read, manipulate, or write an image or image sequence from within a Perl script. This makes it very suitable for Web CGI scripts. . This is a transitional package to help migrate systems to the new libimage-magick-perl perl library. . This is a dummy package. You can safely purge or remove it. Package: petsc-dev Description-md5: 3f2d51ee4293c9884962bdd029114979 Description-en: Virtual package depending on latest PETSc development package PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This metapackage installs libpetsc-real-dev, which will always depend on the most recent version of PETSc with real number support. So if you install it then you will get upgrades automatically. Package: petsc3.21-doc Description-md5: 05b16cda8a5e4163ceb0cb70c2579885 Description-en: Documentation and examples for PETSc PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This package contains documentation for developing with PETSc 3.21. Package: petsc64-dev Description-md5: 0c7bb43d5b8764eb33b4afa476163e5e Description-en: Virtual package depending on latest 64-bit PETSc development package PETSc is the "Portable Extensible Toolkit for Scientific Computation", a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. Several sample scientific applications, as well as various papers and talks, demonstrate the features of the PETSc libraries. . This metapackage installs libpetsc64-real-dev, which will always depend on the most recent version of PETSc with real number support and 64-bit indexing. So if you install it then you will get upgrades automatically. Package: pfsglview Description-md5: d4cdbf3a6ea3b49b20a2b79466a61948 Description-en: command line HDR manipulation programs (OpenGL/GLUT viewer) PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. . This package contains a viewer implemented in OpenGL/GLUT. Package: pfstmo Description-md5: 4355e6f7c5362c117e8861b80c91e40d Description-en: set of tone mapping operators This package contains a set of state-of-the-art tone mapping operators. Tone mapping is a technique used to approximately map the appearance of high-dynamic range (HDR) images to media with more limited dynamic range. The operators are suitable for processing of both static images and animations. . The operators are embedded in a flexible framework (pfstools) which provides a unified input/output mechanism and a modular architecture for the filtering of the image data. Various file formats for static images and video are transparently supported and the High Dynamic Range data are processed modularly through a Unix piping mechanism. Package: pfstools Description-md5: ec09e8e4995f763bcb9b3916caf728e9 Description-en: command line HDR manipulation programs pfstools is a set of command line (and two GUI) programs for reading, writing, manipulating and viewing high-dynamic range (HDR) images and video frames. All programs in this package exchange data using a simple generic file format (pfs) for HDR data. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. . The concept of pfstools is similar to netpbm for low-dynamic range images. Package: pfsview Description-md5: 9bbe0c942e5a402f685231c125067757 Description-en: command line HDR manipulation programs (Qt viewer) PFS is a high-dynamic range (HDR) image format. It is an attempt to integrate existing file formats by providing a simple data format that can be used to exchange data between applications. . This package contains a viewer implemented in Qt. Package: pg-auto-failover-cli Description-md5: 051c2ce207fd38c10b658d5735db5730 Description-en: Command line interface and service to manage pg auto failover Clusters This CLI is used to manage pg_auto_failover installations to provide High Availability to Postgres. Package: pg-rage-terminator-17 Description-md5: a438cfbc9073672d7702619e743eb5ee Description-en: PostgreSQL background worker that kill random sessions. pg-rage-terminator is a custom background worker which is able to kill random PostgreSQL backends. Package: pgpool2 Description-md5: db1da7136cd7647f1ce4a0a590fc0046 Description-en: connection pool server and replication proxy for PostgreSQL pgpool-II is a middleware that works between PostgreSQL servers and a PostgreSQL database client. It provides the following features: . * Connection Pooling * Replication * Load Balance * Limiting Exceeding Connections * Parallel Query . pgpool-II talks PostgreSQL's backend and frontend protocol, and relays a connection between them. Therefore, a database application (frontend) thinks that pgpool-II is the actual PostgreSQL server, and the server (backend) sees pgpool-II as one of its clients. Because pgpool-II is transparent to both the server and the client, an existing database application can be used with pgpool-II almost without a change to its sources. . This is version 3 of pgpool-II, the second generation of pgpool. Package: php-codesniffer Description-md5: d2f4e8ca2ac266389e17ef558170507b Description-en: PHP, CSS and JavaScript coding standard analyzer and checker PHP_CodeSniffer is a set of two PHP scripts; the main phpcs script that tokenizes PHP, JavaScript and CSS files to detect violations of a defined coding standard, and a second phpcbf script to automatically correct coding standard violations. PHP_CodeSniffer is an essential development tool that ensures your code remains clean and consistent. Package: php-imagick Description-md5: 9be26e391f9e9913623e91a43de6e91f Description-en: Provides a wrapper to the ImageMagick library Imagick is a native php extension to create and modify images using the ImageMagick API. . This is empty package that depends on default PHP version. Package: php-imagick-all-dev Description-md5: b3133fe8f70f4b038fd236d11de0d589 Description-en: Provides a wrapper to the ImageMagick library Imagick is a native php extension to create and modify images using the ImageMagick API. . This is empty package that depends on all PHP versions. Package: php-laravel-prompts Description-md5: d4350eb40af328865ca83c3e2447733f Description-en: Add beautiful and user-friendly forms to your command-line applications Laravel Prompts is a PHP package adding forms to command-line applications, with browser-like features including placeholder text and validation. . Laravel Prompts is perfect for accepting user input in Artisan console commands, but it may also be used in any command-line PHP project. Package: php-phpmyadmin-sql-parser Description-md5: 9ec11490736a7a220011edba0e2ab28e Description-en: validating SQL lexer and parser This library parses and is able to tokenize, lint and syntax-highlight SQL statements. It is also able to alter the parsed query. . This library has a focus on the MySQL dialect. Package: php8.3-bcmath Description-md5: 8f9f425714b203a9b7d1f3678f64c107 Description-en: Bcmath module for PHP This package provides the Bcmath module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-bz2 Description-md5: 6221ff838ed81a370f3420140a1ccf42 Description-en: bzip2 module for PHP This package provides the bzip2 module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-dba Description-md5: a1c9cd8c67d752f4aaf0ba88ecb360df Description-en: DBA module for PHP This package provides the DBA module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-enchant Description-md5: 687582edca33aa141d3f62c1294fcb07 Description-en: Enchant module for PHP This package provides the Enchant module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-fpm Description-md5: 90ab369d6f70e2962e63454ab0a372f6 Description-en: server-side, HTML-embedded scripting language (FPM-CGI binary) This package provides the Fast Process Manager interpreter that runs as a daemon and receives Fast/CGI requests. Note that MOST Apache users probably want the libapache2-mod-php8.3 package. . The following extensions are built in: Core date filter hash json libxml openssl pcre random Reflection session sodium SPL standard zlib. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-imagick Description-md5: 1b0be74175e2a8655593a6b583950eed Description-en: Provides a wrapper to the ImageMagick library Imagick is a native php extension to create and modify images using the ImageMagick API. Package: php8.3-imap Description-md5: 73ce2e5dbb1377bc41524b600892883b Description-en: IMAP module for PHP This package provides the IMAP module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-interbase Description-md5: 40c18d15eeba50ef5700d72e1e45d586 Description-en: Interbase module for PHP This package provides the Interbase module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-intl Description-md5: 576352b0c1559ceb07b3161367c69f39 Description-en: Internationalisation module for PHP This package provides the Internationalisation module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-phpdbg Description-md5: 67c6305a8de98639c145ce896becb6da Description-en: server-side, HTML-embedded scripting language (PHPDBG binary) This package provides the /usr/bin/phpdbg command interpreter, useful for stepthrough debugging of PHP code. . The following extensions are built in: Core date filter hash json libxml openssl pcntl pcre random Reflection session sodium SPL standard zlib. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-soap Description-md5: 8ca6ca2ae4fbc6127f6522e73f05005a Description-en: SOAP module for PHP This package provides the SOAP module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-sybase Description-md5: c5f3c0b4521b40ee671df4ab3452df1d Description-en: Sybase module for PHP This package provides the Sybase module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: php8.3-xsl Description-md5: 93c8fc5e2935346a001f328e898c3cff Description-en: XSL module for PHP (dummy) This package is a transitional dummy package and can be safely removed. Package: php8.3-zip Description-md5: e148c478613188b645a0ec42c87f0518 Description-en: Zip module for PHP This package provides the Zip module(s) for PHP. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: phyml Description-md5: e17d56691eaa63bb88fc849229a6c8a4 Description-en: Phylogenetic estimation using Maximum Likelihood PhyML is a software that estimates maximum likelihood phylogenies from alignments of nucleotide or amino acid sequences. It provides a wide range of options that were designed to facilitate standard phylogenetic analyses. The main strengths of PhyML lies in the large number of substitution models coupled to various options to search the space of phylogenetic tree topologies, going from very fast and efficient methods to slower but generally more accurate approaches. It also implements two methods to evaluate branch supports in a sound statistical framework (the non-parametric bootstrap and the approximate likelihood ratio test). . PhyML was designed to process moderate to large data sets. In theory, alignments with up to 4,000 sequences 2,000,000 character-long can be analyzed. In practice however, the amount of memory required to process a data set is proportional of the product of the number of sequences by their length. Hence, a large number of sequences can only be processed provided that they are short. Also, PhyML can handle long sequences provided that they are not numerous. With most standard personal computers, the “comfort zone” for PhyML generally lies around 3 to 500 sequences less than 2,000 character long. . This package also includes PhyTime. Package: pim-data-exporter Description-md5: 66decaaca403231780d652563e6799d6 Description-en: back up and archive PIM data An application to assist you with backing up and archiving PIM data, including the necessary configuration, for archival or transfer to another computer. Package: pim-sieve-editor Description-md5: 67a64ff384d13a09d1f053cda27263f9 Description-en: IMAP Sieve filter editor An application to assist with editing IMAP Sieve filters. Package: pinentry-fltk Description-md5: ceae4703f77a31605c6ec33ee50e0051 Description-en: FLTK-based PIN or pass-phrase entry dialog for GnuPG This package contains a program that allows for secure entry of PINs or pass phrases. That means it tries to take care that the entered information is not swapped to disk or temporarily stored anywhere. This functionality is particularly useful for entering pass phrases when using encryption software such as GnuPG or e-mail clients using the same. It uses an open protocol and is therefore not tied to particular software. . The program contained in this package implements a PIN entry dialog using the Fast Light Toolkit version 1.3. If the X Window System is not active then an alternative text-mode dialog will be used. There are sibling packages that implement PIN entry dialogs using other tool kits. Package: pinentry-gtk2 Description-md5: f80a139d64b6c1b0688af51229dc3ca2 Description-en: GTK+-2-based PIN or pass-phrase entry dialog for GnuPG This package contains a program that allows for secure entry of PINs or pass phrases. That means it tries to take care that the entered information is not swapped to disk or temporarily stored anywhere. This functionality is particularly useful for entering pass phrases when using encryption software such as GnuPG or e-mail clients using the same. It uses an open protocol and is therefore not tied to particular software. . The program contained in this package implements a PIN entry dialog using the GTK+ tool kit version 2. If the X Window System is not active then an alternative text-mode dialog will be used. There are sibling packages that implement PIN entry dialogs using other tool kits. Package: pinentry-qt Description-md5: 480e061717e70d5bcfc8be66e3b7d9ab Description-en: Qt-based PIN or pass-phrase entry dialog for GnuPG This package contains a program that allows for entry of PINs or pass phrases. It is useful for entering pass phrases when using encryption software such as GnuPG or e-mail clients using the same. It uses an open protocol and is therefore not tied to particular software. . The program contained in this package implements a PIN entry dialog using the Qt tool kit, so it is especially suitable for users of KDE. If the X Window System is not active then an alternative text-mode dialog will be used. There are sibling packages that implement PIN entry dialogs using other tool kits. Package: pinentry-tty Description-md5: fb1b5491849b43150afbc7d00b1d4d4f Description-en: minimal dumb-terminal PIN or pass-phrase entry for GnuPG This package contains a program that allows for secure entry of PINs or pass phrases. That means it tries to take care that the entered information is not swapped to disk or temporarily stored anywhere. This functionality is particularly useful for entering pass phrases when using encryption software such as GnuPG or e-mail clients using the same. It uses an open protocol and is therefore not tied to particular software. . The program contained in this package implements a PIN entry prompt that interacts directly with the controlling terminal. It has minimal dependencies, meaning that it is useful for users working with dumb terminals or similarly constrained environments. There are sibling packages that implement PIN entry dialogs that use curses for friendlier text-mode inputs on non-dumb terminals, and other sibling packages that provide PIN entry dialogs using an X tool kit. Package: piuparts Description-md5: 055e74b6d60140a58563105e6aa821a1 Description-en: .deb package installation, upgrading, and removal testing tool piuparts tests that .deb packages (as used by Debian) handle installation, upgrading, and removal correctly. It does this by creating a minimal Debian installation in a chroot, and installing, upgrading, and removing packages in that environment, and comparing the state of the directory tree before and after. piuparts reports any files that have been added, removed, or modified during this process. . piuparts is meant as a quality assurance tool for people who create .deb packages to test them before they upload them to the Debian package archive. Package: piuparts-common Description-md5: b6cd8a6bc1021198534dda4e75433356 Description-en: common piuparts components piupartslib, common library used by piuparts-master, -report, -analyze and -slave. . See https://piuparts.debian.org or the piuparts package to learn more about piuparts. Package: piuparts-master Description-md5: a72d4f4d0638150964428161606fa457 Description-en: piuparts master components piuparts is meant as a quality assurance tool for people who create .deb packages to test them before they upload them to the Debian package archive. A master/slave piuparts installation is used for testing complete archives as it is done on https://piuparts.debian.org/. . This package provides the piuparts-master, which needs one or more slaves to operate. It also contains piuparts-report to generate web pages with the testing results as well as documentation. Package: piuparts-master-from-git-deps Description-md5: 735d6d8347568a1de0e3cce6c0069020 Description-en: dependencies for running piuparts master from git piuparts is meant as a quality assurance tool for people who create .deb packages to test them before they upload them to the Debian package archive. A master/slave piuparts installation is used for testing complete archives as it is done on https://piuparts.debian.org/. . This metapackage provides all the dependencies needed for running a piuparts-master instance (including piuparts-report etc.) from git. Package: piuparts-slave Description-md5: d75f4e850854faeeee48208dfc4858d4 Description-en: piuparts slave components piuparts is meant as a quality assurance tool for people who create .deb packages to test them before they upload them to the Debian package archive. A master/slave piuparts installation is used for testing complete archives as it is done on https://piuparts.debian.org/. . This package provides the piuparts slave components, which need a piuparts master to operate. See the documentation in the piuparts-master package. Package: piuparts-slave-from-git-deps Description-md5: fa1ae615143527f6fa7538f24bd65486 Description-en: dependencies for running piuparts slave from git piuparts is meant as a quality assurance tool for people who create .deb packages to test them before they upload them to the Debian package archive. A master/slave piuparts installation is used for testing complete archives as it is done on https://piuparts.debian.org/. . This metapackage provides all the dependencies needed for running a piuparts-slave instance from git. Package: pkg-haskell-tools Description-md5: 0e6e6676d75d315e780189291b81a767 Description-en: Debian Haskell Group tools This package provides various convenience tools used by the Debian Haskell Group. Package: plasma-mobile-core Description-md5: f3f92f549deaa0f9ab5d06d20bcab9aa Description-en: Plasma mobile Environment -- essential components These are the core components of the Plasma shell for mobile devices. . This metapackage depends on a basic set of programs. Package: plasma-mobile-full Description-md5: 200cd8007028b3ef3d602376038f4b72 Description-en: Plasma mobile Environment, with extra components This is the Plasma shell for mobile devices. . This metapackage depends on a bigger set of programs than plasma-mobile-core giving a more nearly complete environment. Package: plasma-mobile-phone Description-md5: bd9bd8050f7cb1a283cc0329b7d88c0c Description-en: Plasma mobile Environment -- phone components These are the phone-related components of the Plasma shell for mobile devices. . This metapackage depends on a set of programs that are needed for phone calls and sms handling. Package: plasma-mobile-pim Description-md5: 38f2c384418648bd789945b5473ecd37 Description-en: Plasma mobile Environment -- PIM components These are components of the Plasma ecosystem related to management of personal information. Package: plasma-mobile-tablet Description-md5: da53208aac440d5ab421c5479c165ec5 Description-en: Plasma mobile Environment -- tablet components These are the tablet related components of the Plasma shell for mobile devices. . This metapackage depends on a set of programs that are useful on tablets. Package: plprofiler Description-md5: fd5748eef3e5406db005ece6f737c6d6 Description-en: PostgreSQL PL/pgSQL functions performance profiler The plprofiler is an extension for the PostgreSQL database system to create performance profiles of PL/pgSQL functions and stored procedures. The included external Python class and command line utility can be used to easily control the extension, run arbitrary SQL commands (invoking PL/pgSQL functions), save and manage the resulting performance datasets and create HTML reports from t. This package contains the Python module and the plprofiler CLI util. Package: pocl-doc Description-md5: e3c0e90e39c5759953608bcfdf5dbf74 Description-en: documentation for the pocl library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package provides the development files for the pocl library. Unless very specific needs, this package is useless. This package is only required to link directly and explicitly with pocl. . This package provides the documentation for the pocl library. Package: pocl-opencl-icd Description-md5: 4258670334653aa715a62c48695316e8 Description-en: pocl ICD Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package allows one to use pocl as an installable client driver (ICD) for OpenCL. Package: pocl-source Description-md5: 47dc743b5166f7eebaddd69949abe252 Description-en: pocl source code Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides original Debian (with Debian patches already applied) sources of pocl shipped in a tarball. This can be used for building the device libraries for additional targets. Package: pocl-tests Description-md5: 710b3bd0a76d173d1efbb0ad1beaf2b7 Description-en: pocl testsuite Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package contains the source code of the pocl test suite. Package: podman-compose Description-md5: aaeda68f46f871bd0b2c83ce416b42e5 Description-en: Run docker-compose.yml using podman An implementation of docker-compose with podman backend. The main objective of this project is to be able to run docker-compose.yml unmodified and rootless. Package: podman-toolbox Description-md5: 10e8d422bedd6a457bb570604e8b047f Description-en: unprivileged development environment using containers Toolbx is a tool which allows the use of containerised command line environments. It offers a familiar package based environment for developing and debugging software that runs fully unprivileged using Podman. . A toolbx container is a fully mutable container; when you see yum install ansible for example, that's something you can do inside your toolbx container, without affecting the base operating system. . Toolbx used to be known as Container Toolbox. Package: poezio Description-md5: 65718021bfc7d68b01173493fdd71129 Description-en: Console-based XMPP client Poezio is a free console XMPP client (the protocol on which the Jabber IM network is built). . Its goal is to let you connect very easily (no account creation needed) to the network and join various chatrooms, immediately. It tries to look like the most famous IRC clients (weechat, irssi, etc). Many commands are identical and you won't be lost if you already know these clients. Configuration can be made in a configuration file or directly from the client. Package: policycoreutils Description-md5: 31208c85fff1e3518bcca4a5d2dfbdb2 Description-en: SELinux core policy utilities Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the core policy utilities that are required for basic operation of an SELinux system. These utilities include load_policy to load policies, setfiles to label filesystems. Package: policycoreutils-dbus Description-md5: a9fd585e3d16b666d69c9ef51016e56c Description-en: SELinux core policy utilities (D-Bus daemon) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the org.selinux D-Bus daemon. Package: policycoreutils-dev Description-md5: 530fe6be5f801e94cbd1e8e451e87359 Description-en: SELinux core policy utilities (development utilities) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the management tools used to develop policy in an SELinux environment. Package: policycoreutils-gui Description-md5: 299230da37bb10af118ebb5821a2c569 Description-en: SELinux core policy utilities (graphical utilities) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the system-config-selinux and the selinux-polgengui utilities. Package: policycoreutils-python-utils Description-md5: e9a150d3a64bbbc19e568203a8d13151 Description-en: SELinux core policy utilities (Python utilities) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the policycoreutils written in Python like semanage, audit2why, audit2allow or chcat. Package: postgis Description-md5: 8aab8a2ee2d60aceb4196de4389b1c02 Description-en: Geographic objects support for PostgreSQL PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". . This package contains the PostGIS userland binaries for importing and exporting shape and raster files: pgsql2shp, raster2pgsql, and shp2pgsql. Package: postgis-doc Description-md5: d9fb6ebb1016d9274a6318204b1d5d9b Description-en: Geographic objects support for PostgreSQL -- documentation PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". . This package contains the PostGIS documentation. Package: postgresql-17-age Description-md5: ee2d206aa05262acef9cf893bb83fc66 Description-en: Graph database optimized for fast analysis and real-time data processing Apache AGE is an extension for PostgreSQL that enables users to leverage a graph database on top of the existing relational databases. AGE is an acronym for A Graph Extension and is inspired by Bitnine's AgensGraph, a multi-model database fork of PostgreSQL. The basic principle of the project is to create a single storage that handles both the relational and graph data model so that the users can use the standard ANSI SQL along with openCypher, one of the most popular graph query languages today. . This package contains Apache AGE for PostgreSQL 17. Package: postgresql-17-asn1oid Description-md5: ad12f5ce01423de8a6237b4896b663d4 Description-en: ASN.1 OID data type for PostgreSQL This plugin provides the necessary support functions to store ASN.1 OIDs in a PostgreSQL database. . This package has been compiled for PostgreSQL 17. Package: postgresql-17-auto-failover Description-md5: 78a03e427a51a85e3c326e034fef9437 Description-en: Postgres high availability support This extension implements a set of functions to provide High Availability to Postgres. Package: postgresql-17-cron Description-md5: b733e29764720cd3b047e4ca93764fb2 Description-en: Run periodic jobs in PostgreSQL pg_cron is a simple cron-based job scheduler for PostgreSQL (9.5 or higher) that runs inside the database as an extension. It uses the same syntax as regular cron, but it allows you to schedule PostgreSQL commands directly from the database. pg_cron can run multiple jobs in parallel, but it runs at most one instance of a job at a time. If a second run is supposed to start before the first one finishes, then the second run is queued and started as soon as the first run completes. Package: postgresql-17-debversion Description-md5: ef3470a77fc6dee20bcbcc33637f1fb1 Description-en: Debian version number type for PostgreSQL Debian version numbers, used to version Debian binary and source packages, have a defined format, including specifications for how versions should be compared in order to sort them. This package implements a "debversion" type to represent Debian version numbers within the PostgreSQL database. This also includes operators for version comparison and index operator classes for creating indexes on the debversion type. . Version comparison uses the algorithm used by the Debian package manager, dpkg, using the implementation from libapt-pkg. This means that columns in tables using the debversion type may be sorted and compared correctly using the same logic as "dpkg --compare-versions". It is also possible to create indexes on these columns. . postgresql-debversion implements the following features: . * The "debversion" type (internally derived from the "text" type) * A full set of operators for version comparison (< <= = <> >= >) including commutator and negator optimisation hints * Operator classes for btree and hash indexes * The aggregate functions min() and max() Package: postgresql-17-decoderbufs Description-md5: 9994d34c045767ef7444a79dad7fb4f5 Description-en: logical decoder output plugin to deliver data as Protocol Buffers A PostgreSQL logical decoder output plugin to deliver data as Protocol Buffers, used by Debezium (http://debezium.io). Package: postgresql-17-dirtyread Description-md5: 39ad00b580d7bff9552d041959a7cfe6 Description-en: Read dead but unvacuumed tuples from a PostgreSQL relation The pg_dirtyread extension provides the ability to read dead but unvacuumed rows from a PostgreSQL relation. Package: postgresql-17-h3 Description-md5: ebe246d950793e6d52ccd85f70f46173 Description-en: PostgreSQL bindings for H3, a hierarchical hexagonal geospatial indexing system H3 is a geospatial indexing system using a hexagonal grid that can be (approximately) subdivided into finer and finer hexagonal grids, combining the benefits of a hexagonal grid with S2's hierarchical subdivisions. . This package contains the PostgreSQL 17 extension. Package: postgresql-17-http Description-md5: a8fc6a95c5bb9dad7c210d1e5d2c91e4 Description-en: HTTP client for PostgreSQL, retrieve a web page from inside the database PostgreSQL extension to make HTTP requests from within the database, returning results for usage in SQL queries. Package: postgresql-17-londiste-sql Description-md5: 69e191159b99f53cdda1466b845f6b20 Description-en: SQL infrastructure for Londiste Londiste is a cascaded replication system built on top of PgQ. This extensions contains in-database support code. Package: postgresql-17-mobilitydb Description-md5: 8ab384821c0571b5da588bd58982300d Description-en: Open source geospatial trajectory data management & analysis platform MobilityDB is a database management system for moving object geospatial trajectories, such as GPS traces. It adds support for temporal and spatio-temporal objects to the PostgreSQL database and its spatial extension PostGIS. Package: postgresql-17-mysql-fdw Description-md5: 6884a17826d3234322790a3f38743a47 Description-en: Postgres 17 Foreign Data Wrapper for MySQL postgresql-mysql-fdw is a PostgreSQL extension implementing a Foreign Data Wrapper (FDW) to access MySQL data from PostgreSQL. . This package is for PostgreSQL 17. Package: postgresql-17-numeral Description-md5: 01cfc68be209e7d75ce23537b6cdf688 Description-en: numeral datatypes for PostgreSQL This module provides numeric data types for PostgreSQL that use numerals (words instead of digits) for input and output. . * numeral: English numerals (one, two, three, four, ...), short scale (10^9 = trillion) * zahl: German numerals (eins, zwei, drei, vier, ...), long scale (10^9 = Milliarde) * roman: Roman numerals (I, II, III, IV, ...) Package: postgresql-17-partman Description-md5: a32974adcc83c288fa52995a76bfaf56 Description-en: PostgreSQL Partition Manager pg_partman is a PostgreSQL extension to create and manage both time-based and serial-based table partition sets. Sub-partitioning is also supported. Child table & trigger function creation is all managed by the extension itself. Tables with existing data can also have their data partitioned in easily managed smaller batches. Optional retention policy can automatically drop partitions no longer needed. A background worker (BGW) process is included to automatically run partition maintenance without the need of an external scheduler (cron, etc) in most cases. Package: postgresql-17-periods Description-md5: e63c84484b236c5241205542b9c562d3 Description-en: PERIODs and SYSTEM VERSIONING for PostgreSQL This extension attempts to recreate the behavior defined in SQL:2016 (originally SQL:2011) around periods and tables with SYSTEM VERSIONING. The idea is to figure out all the rules that PostgreSQL would like to adopt (there are some details missing in the standard) and to allow earlier versions of PostgreSQL to simulate the behavior once the feature is finally integrated. Package: postgresql-17-pg-catcheck Description-md5: b0d446b2502ef23c64498c0d12b46690 Description-en: Postgres system catalog checker PostgreSQL stores the metadata for SQL objects such as tables and functions using special tables called system catalog tables. pg_catcheck is a simple tool for diagnosing system catalog corruption. If you suspect that your system catalogs are corrupted, this tool may help you figure out exactly what problems you have and how serious they are. If you are paranoid, you can run it routinely to search for system catalog corruption that might otherwise go undetected. Package: postgresql-17-pg-fact-loader Description-md5: 34da7b466832baa93e04ae97c324bdb6 Description-en: Build fact tables asynchronously with Postgres Use queue tables to build fact tables asynchronously for PostgreSQL 17. Package: postgresql-17-pg-failover-slots Description-md5: 5620539a852f241aa2e9727fa41ab1e2 Description-en: High-availability support for PostgreSQL logical replication This extension is for anyone with Logical Replication Slots on Postgres databases that are also part of a Physical Streaming Replication architecture. . Since logical replication slots are only maintained on the primary node, downstream subscribers don't receive any new changes from a newly promoted primary until the slot is created, which is unsafe because the information that includes which data a subscriber has confirmed receiving and which log data still needs to be retained for the subscriber will have been lost, resulting in an unknown gap in data changes. PG Failover Slots makes logical replication slots usable across a physical failover using the following features: . - Copies any missing replication slots from the primary to the standby - Removes any slots from the standby that aren't found on the primary - Periodically synchronizes the position of slots on the standby based on the primary - Ensures that selected standbys receive data before any of the logical slot walsenders can send data to consumers Package: postgresql-17-pg-qualstats Description-md5: 5110285de5b20492efb46822cc4dfaa5 Description-en: PostgreSQL extension to gather statistics about predicates. This extensions tracks WHERE clauses predicates and JOIN predicates. Statistics will report whether the predicate was evaluated as an index scan or not, how many time the expression appeared, how many times the operator was executed and how filtering the expression is. If pg_stat_statements is enabled, it can also track to which statements the predicate belongs. Package: postgresql-17-pg-rrule Description-md5: 445fabe50612b583e8aa534f02fa501f Description-en: RRULE data type for PostgreSQL pg-rrule can expand recurrence rules (RRULE) as defined in the iCalendar specification (RFC 5545). It allows expanding RRULEs into concrete occurences as timestamps, which can then be queried against. Package: postgresql-17-pg-stat-kcache Description-md5: b382a2f5c1a04f9c33485ae5b8f982bf Description-en: PostgreSQL extension to gather per-query kernel statistics. Statistics gathered are reads and writes done out of the operating system cache, user and system CPU usage, context switches and all the other meaningful metrics returned by getrusage(2). All those counters are aggregated per postgres role, database and normalized query identifier. Package: postgresql-17-pg-wait-sampling Description-md5: 1aa0b18dfa6afa955a9a25f9280c633c Description-en: Extension providing statistics about PostgreSQL wait events pg_wait-sampling is an extension which provides functions for detailed per backend and per query wait events statistics. Package: postgresql-17-pgauditlogtofile Description-md5: 6af0f791937d1ab651d525293ad6435a Description-en: PostgreSQL pgAudit Add-On to redirect audit logs pgAudit Log to File is an addon to pgAudit than will redirect audit log lines to an independent file, instead of using PostgreSQL server logger. . This allows to have an audit file that we can easily rotate without polluting server logs with those messages. . Audit logs in heavily used systems can grow very fast. This extension allows to automatically rotate the files. Package: postgresql-17-pgextwlist Description-md5: f58aff65967bfc3eddc04bdae86e695b Description-en: PostgreSQL Extension Whitelisting This extension implements extension whitelisting, and will actively prevent users from installing extensions not in the provided list. Also, this extension implements a form of sudo facility in that the whitelisted extensions will get installed as if superuser. Privileges are dropped before handing the control back to the user. Package: postgresql-17-pgfincore Description-md5: 9e733b7fc48b0a8554e4a84f87b0292c Description-en: set of PostgreSQL functions to manage blocks in memory Those functions let you know which and how many disk block from a relation are in the page cache of the operating system, and eventually write the result to a file. Then using this file, it is possible to restore the page cache state for each block of the relation. Package: postgresql-17-pgl-ddl-deploy Description-md5: 14c8e8339b9bcb8579cfefb0a76b228e Description-en: Transparent DDL replication for PostgreSQL Automated DDL deployment using PgLogical for PostgreSQL 17. Package: postgresql-17-pglogical Description-md5: 89747981d1a34b9fdb08e4273681408b Description-en: Logical Replication Extension for PostgreSQL The pglogical extension provides logical streaming replication between PostgreSQL instances, using a publish/subscribe model. Contrary to the built-in streaming replication, pglogical can replicate between major versions or different architectures and is not restricted to replicate the entire instance but can selectively replicate arbitrary sets of tables. . Use-Cases include migrations and major-version upgrades, aggregation of several databases into a Data Warehouse, It utilises the Logical Decoding features available since PostgreSQL 9.4 working with low overhead on both provider and subscriber. Package: postgresql-17-pglogical-ticker Description-md5: fb036abdc30cf437c933d0714711eeea Description-en: Have time-based replication delay for pglogical A pglogical extension to get time-based replication delay for PostgreSQL 17. Package: postgresql-17-pgmemcache Description-md5: 17588ad8096d2be814de0c2ec10b9819 Description-en: PostgreSQL interface to memcached pgmemcache is an extension for PostgreSQL that provides an API to memcached through user-defined functions. . memcached is a high-performance, distributed memory object caching system, generic in nature, but originally intended for use in speeding up dynamic web applications by alleviating database load. Package: postgresql-17-pgmp Description-md5: 1c2b894aa085b33a9b9b1b69f172e4da Description-en: arbitrary precision integers and rationals for PostgreSQL 17 pgmp is a PostgreSQL extension to add the GMP data types and functions directly into the database. . The extension adds the types mpz (arbitrary size integers) and mpq (arbitrary precision rationals) to PostgreSQL and exposes to the database all the functions available in the GMP library for these data types, allowing: . * higher performance arithmetic on integers respect to the numeric data type * a rational data type for absolute precision storage and arithmetic * using specialized functions to deal with prime numbers, random numbers, factorization directly into the database. Package: postgresql-17-pgnodemx Description-md5: 7954f623ea3ca9d4a6ea67777f391089 Description-en: capture node OS metrics from PostgreSQL pgnodemx is a PostgreSQL extension that provides SQL functions to allow capture of node OS metrics via SQL queries. . * cgroup related functions * environment variable related functions * /proc related functions * system information related functions * Kubernetes DownwardAPI related functions * general information functions Package: postgresql-17-pgpool2 Description-md5: 77861b0779cf9765b16eaec279cdb038 Description-en: connection pool server and replication proxy for PostgreSQL - modules pgpool-II is a middleware that works between PostgreSQL servers and a PostgreSQL database client. This package contains support modules for the PostgreSQL 17 server: . * pgpool_adm * pgpool_recovery (PostgreSQL 9.1 and above) * pgpool_regclass (PostgreSQL 9.1 and above) Package: postgresql-17-pgq-node Description-md5: 016676aa7ddbe7e042516d187d16b3c8 Description-en: Cascaded queueing on top of PgQ PgQ provides generic queues for PostgreSQL. It allows multiple queues in one database, each queue can be consumed by multiple consumers. . This package contains the pgq_node PostgreSQL extension that provides queue node registration and coordination for cascaded queueing. Package: postgresql-17-pgq3 Description-md5: 7df59bda205663bcc6a153dec4c3f44f Description-en: Generic queue for PostgreSQL This extension provides generic queues for PostgreSQL. . It allows multiple queues in one database, each queue can be consumed by multiple consumers. Package: postgresql-17-pgrouting Description-md5: 61fe042928b398a576a844407e7cb7c2 Description-en: Routing functionality support for PostgreSQL/PostGIS pgRouting extends the PostGIS/PostgreSQL geospatial database to provide geospatial routing and other network analysis functionality. . This package supports PostgreSQL 17. Package: postgresql-17-pgrouting-doc Description-md5: a8d18212b7c8fc9f2da1c5661a9d1c02 Description-en: Routing functionality support for PostgreSQL/PostGIS (Documentation) pgRouting extends the PostGIS/PostgreSQL geospatial database to provide geospatial routing and other network analysis functionality. . This package contains the documentation. Package: postgresql-17-pgrouting-scripts Description-md5: f54c397ef0376fabd0200cae0c64d116 Description-en: Routing functionality support for PostgreSQL/PostGIS - SQL scripts pgRouting extends the PostGIS/PostgreSQL geospatial database to provide geospatial routing and other network analysis functionality. . This package contains the SQL scripts for installing pgRouting, and for upgrading from earlier pgRouting versions. . This package supports PostgreSQL 17. Package: postgresql-17-pgsphere Description-md5: b5b790b44b5c5fd7b856da395cfb12f9 Description-en: Spherical data types for PostgreSQL PgSphere, an extension for PostgreSQL, aims at providing uniform access to spherical data. It allows for a fast search and analysis for objects with spherical attributes in geographical, astronomical, or other applications using PostgreSQL. . By using an SQL interface, PgSphere's users can conveniently manage data of geographical objects around the world and astronomical data collections like star and other catalogues. Package: postgresql-17-pgtt Description-md5: 5705a35ec8eb99e227a4f17fc0cc1cf9 Description-en: PostgreSQL Global Temporary Tables pgtt is a PostgreSQL extension to create, manage and use Oracle-style Global Temporary Tables. . The objective of this extension is to provide Global Temporary Tables to PostgreSQL waiting for an in-core implementation. The main interest of this extension is to mimic the Oracle behavior with GTT when you can not or do not want to rewrite the application code when migrating to PostgreSQL. Package: postgresql-17-pgvector Description-md5: cb155ba7f9c2a695c651f7995baf14f1 Description-en: Open-source vector similarity search for Postgres Supports exact and approximate nearest neighbor search for L2 distance, inner product, and cosine distance. Package: postgresql-17-pldebugger Description-md5: 9e75bff5cd495a23fd8c711ca4a0cbd3 Description-en: PostgreSQL pl/pgsql Debugger API This module is a set of shared libraries which implement an API for debugging pl/pgsql functions on PostgreSQL 8.4 and above. The pgAdmin project (http://www.pgadmin.org/) provides a client user interface as part of pgAdmin III v1.10.0 and above, and pgAdmin 4. Package: postgresql-17-pllua Description-md5: c6d1bed1118fe76c62e3ab64376bc76e Description-en: Lua procedural language for PostgreSQL 17 PL/Lua is an implementation of Lua as a loadable procedural language for PostgreSQL: with PL/Lua you can use PostgreSQL functions and triggers written in the Lua programming language. . It brings the power and simplicity of Lua to PostgreSQL, including: small memory footprint, simple syntax, lexical scoping, functions as first-class values, and coroutines for non-preemptive threading. . This package is based on pllua-ng. Package: postgresql-17-plpgsql-check Description-md5: 1cd172a8d9dfc067f31fcfdcf2cc9f2b Description-en: plpgsql_check extension for PostgreSQL plpgsql_check is the next generation of plpgsql_lint for PL/pgsql source code. The SQL statements inside PL/pgSQL functions are checked for semantic errors. . Features: * check fields of referenced database objects and types inside embedded SQL * using correct types of function parameters * unused variables and function argumens, unmodified OUT argumens * partially detection of dead code (due RETURN command) * detection of missing RETURN command in function * try to identify unwanted hidden casts, that can be performance issue like unused indexes * possibility to collect relations and functions used by function * possibility to check EXECUTE stmt against SQL injection vulnerability Package: postgresql-17-plprofiler Description-md5: 0cc58d220ab8bf45a8a5a69dc5005357 Description-en: PostgreSQL PL/pgSQL functions performance profiler The plprofiler is an extension for the PostgreSQL database system to create performance profiles of PL/pgSQL functions and stored procedures. The included external Python class and command line utility can be used to easily control the extension, run arbitrary SQL commands (invoking PL/pgSQL functions), save and manage the resulting performance datasets and create HTML reports from them. . This package contains the PostgreSQL server extension. Package: postgresql-17-plproxy Description-md5: f64bb4d0057330ba1fb72535cbfd9363 Description-en: database partitioning system for PostgreSQL 17 PL/Proxy is a database partitioning system implemented as a PL language. Main idea is that proxy functions are created with same signature as the remote functions to be called, so only destination info needs to be specified inside proxy function bodies. Package: postgresql-17-plr Description-md5: 6a964e0a721d2cb6428a8f9c8c0e52ae Description-en: Procedural language interface between PostgreSQL and R R is a language and environment for statistical computing and graphics, providing a wide variety of statistical and graphical techniques (linear and nonlinear modelling, statistical tests, time series analysis, classification, clustering, and so on). . PostgreSQL is an open source SQL database server. . This package provides a procedural language interface to R from PostgreSQL. Procedural languages are used to write functions which can be called in database queries. Package: postgresql-17-plsh Description-md5: 2211d374abebfd3e1be36c36c47b5eb6 Description-en: PL/sh procedural language for PostgreSQL 17 PL/sh enables an SQL developer to write procedural language functions for PostgreSQL 17 in a shell of his choice. You need this package if you have any PostgreSQL 17 functions that use the language plsh. . PostgreSQL is an object-relational SQL database management system. Package: postgresql-17-pointcloud Description-md5: 20845f89fe7791d32eb14519483ec92e Description-en: PostgreSQL extension for storing point cloud (LIDAR) data LIDAR sensors quickly produce millions of points with large numbers of variables measured on each point. The challenge for a point cloud database extension is efficiently storing this data while allowing high fidelity access to the many variables stored. . Much of the complexity in handling LIDAR comes from the need to deal with multiple variables per point. The variables captured by LIDAR sensors varies by sensor and capture process. Some data sets might contain only X/Y/Z values. Others will contain dozens of variables: X, Y, Z; intensity and return number; red, green, and blue values; return times; and many more. There is no consistency in how variables are stored: intensity might be stored in a 4-byte integer, or in a single byte; X/Y/Z might be doubles, or they might be scaled 4-byte integers. . PostgreSQL Pointcloud deals with all this variability by using a "schema document" to describe the contents of any particular LIDAR point. Each point contains a number of dimensions, and each dimension can be of any data type, with scaling and/or offsets applied to move between the actual value and the value stored in the database. The schema document format used by PostgreSQL Pointcloud is the same one used by the PDAL library. Package: postgresql-17-postgis-3 Description-md5: 074c5db486b9a14392a96181b990df71 Description-en: Geographic objects support for PostgreSQL 17 PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". Package: postgresql-17-postgis-3-scripts Description-md5: 86a33d1093d78a2e4f4aa3f05b755bce Description-en: Geographic objects support for PostgreSQL 17 -- SQL scripts PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". . This package contains the SQL scripts for installing PostGIS in a PostgreSQL 17 database, and for upgrading from earlier PostGIS versions. Package: postgresql-17-powa Description-md5: 1d1e86f12226d93dccfb2d354785177f Description-en: PostgreSQL Workload Analyzer -- PostgreSQL 17 extension PoWA is a PostgreSQL Workload Analyzer that gathers performance stats and provides real-time charts and graphs to help monitor and tune your PostgreSQL servers. This package contains the core extension of the PoWA project, the archivist. . Related packages: * powa-web: web frontend * powa-collector: collector daemon for remote snapshots Package: postgresql-17-prefix Description-md5: ef8894298a9eabf59628ac197059a3ac Description-en: Prefix Range module for PostgreSQL This PostgreSQL module provides the prefix_range datatype, allowing to index searches such as finding the longest prefix matching a telephone number, having prefixes in a table and telephone number as a parameter: . select * from prefixes where prefix @> '0100091234'; . The prefix column has to be of type prefix_range, and any text field can get indexed by the provided GiST opclass. Package: postgresql-17-preprepare Description-md5: 152cba00a569fd32c2f3e8eddd9d6cdd Description-en: pre prepare your PostgreSQL statements server side The pre_prepare module for PostgreSQL aims to prepare all your statements as soon as possible and in a way that allows client queries not to bother at all and just call EXECUTE. Package: postgresql-17-prioritize Description-md5: 68c40bc61c85e2dd2ec3063f8f1aeace Description-en: Get and set the nice priorities of PostgreSQL backends This module implements an interface to getpriority() and setpriority() for PostgreSQL backends, callable from SQL functions. Essentially, this module allows users to `renice' their backends. Package: postgresql-17-q3c Description-md5: e5c39c676ab59fe9ed6d5c0604323a75 Description-en: PostgreSQL 17 extension used for indexing the sky Q3C, an extension for PostgreSQL, is designed for the work with large astronomical catalogues or any catalogs of objects on the sphere. . This extension allows a user to perform fast circular, elliptical or polygonal searches on the sky as well as fast cross-matches. Package: postgresql-17-rational Description-md5: 6eb6f67e8b7d0a2cbc299131d6c6907a Description-en: Precise fractional arithmetic for PostgreSQL pg_rational implements precise fractional arithmetic for PostgreSQL. Perfect for exact arithmetic or user-specified table row ordering. Holds values as big as an integer, with matching precision in the denominator. . Features: * Stores fractions in exactly 64 bits (same size as float) * Written in C for high performance * Detects and halts arithmetic overflow for correctness * Uses native CPU instructions for fast overflow detection * Defers GCD calculation until requested or absolutely required * Supports btree and hash indices * Implements Stern-Brocot trees for finding intermediate points * Coercion from integer/bigint/tuple * Custom aggregate Package: postgresql-17-rdkit Description-md5: 2ddeeb620f18198f3074591c17143805 Description-en: Cheminformatics and machine-learning software (PostgreSQL Cartridge) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the PostgreSQL extension. Package: postgresql-17-repack Description-md5: 777f7107ae503816a7f704922a37c85d Description-en: reorganize tables in PostgreSQL databases with minimal locks pg_repack is a PostgreSQL extension which lets you remove bloat from tables and indexes, and optionally restore the physical order of clustered indexes. Unlike CLUSTER and VACUUM FULL it works online, without holding an exclusive lock on the processed tables during processing. pg_repack is efficient to boot, with performance comparable to using CLUSTER directly. . This package contains the pg_repack program and the server extension for PostgreSQL 17. Package: postgresql-17-rum Description-md5: b7dba2c6afb67ab874f4efb53b54803d Description-en: PostgreSQL RUM access method This PostgreSQL extension provides the RUM access method, an inverted index with additional information in posting lists. Package: postgresql-17-semver Description-md5: 6e7b09416aeb5ddbe8da8252b83e5a71 Description-en: Semantic version number type for PostgreSQL This library contains a single PostgreSQL extension for a data type called 'semver'. It implements the version number format described in the Semantic Versioning 2.0.0 Specification. [1] . [1] https://semver.org/spec/v2.0.0.html Package: postgresql-17-set-user Description-md5: 23b81c8e3218300711a8a62a54ac4370 Description-en: PostgreSQL privilege escalation with enhanced logging and control The set_user PostgreSQL extension allows switching users and optional privilege escalation with enhanced logging and control. It provides an additional layer of logging and control when unprivileged users must escalate themselves to superuser or object owner roles in order to perform needed maintenance tasks. Package: postgresql-17-show-plans Description-md5: eb0646599a3d50e8eb45fc1e5e6ee19d Description-en: Show query plans of currently running PostgreSQL statements This PostgreSQL extension shows the query plans of all currently running SQL statements. Plan output format can be plain text (default), JSON, YAML, or XML. Package: postgresql-17-similarity Description-md5: 016915125f3f037a27ce1e3311d2fc9c Description-en: PostgreSQL similarity functions extension pg_similarity is an extension to support similarity queries on PostgreSQL. The extension consists of: . * Functions implementing similarity algorithms available in the literature. These functions can be used as UDFs and are the base for implementing the similarity operators; * Operators defined on top of the similarity functions. They use similarity functions to obtain the similarity threshold and compare its value to a user-defined threshold to decide if it is a match or not; * Session variables storing similarity function parameters. Package: postgresql-17-slony1-2 Description-md5: 80f61d92c9c60285eb4fc82798326e4b Description-en: replication system for PostgreSQL: PostgreSQL 17 server plug-in Slony-I is an asynchronous master-to-multiple-slaves replication system for PostgreSQL with cascading and slave promotion. . This package contains the support functions that are loaded into the PostgreSQL database server. It needs to be installed on the hosts where the database server nodes are running. This package works with version 17 of the PostgreSQL server; you need the package that corresponds to the version of your database server. . The actual replication daemon and the administration tools are in the package slony1-2-bin. This package is useless without slony1-2-bin installed somewhere in the network. Package: postgresql-17-snakeoil Description-md5: 8cd2fd1a5fb69b47b2ec6bc17f7dcd91 Description-en: PostgreSQL anti-virus scanner based on ClamAV pg_snakeoil provides functions scanning PostgreSQL data for viruses using the ClamAV anti-virus engine. Package: postgresql-17-squeeze Description-md5: c4e46f3f42b907a308a40fc222a87101 Description-en: PostgreSQL extension for automatic bloat cleanup The pg_squeeze PostgreSQL extension removes unused space from a table and optionally sorts tuples according to particular index, as if the CLUSTER command was executed concurrently with regular reads/writes. Package: postgresql-17-unit Description-md5: f2b3a0cb957e1d7205d6a846e7058e47 Description-en: SI Units for PostgreSQL postgresql-unit implements a PostgreSQL datatype for SI units, plus byte. The base units can be combined to named and unnamed derived units using operators defined in the PostgreSQL type system. SI prefixes are used for input and output, and quantities can be converted to arbitrary scale. Package: postgresql-all Description-md5: 9a0aaba975f82ef14ff2e821d8683975 Description-en: metapackage depending on all PostgreSQL server packages This metapackage depends on all PostgreSQL server packages, in all supported versions (excluding documentation and debug symbols). It exists to facilitate depending on the server packages in test environments. . PostgreSQL is a fully featured object-relational database management system. It supports a large part of the SQL standard and is designed to be extensible by users in many aspects. Some of the features are: ACID transactions, foreign keys, views, sequences, subqueries, triggers, user-defined types and functions, outer joins, multiversion concurrency control. Graphical user interfaces and bindings for many programming languages are available as well. Package: postgresql-filedump Description-md5: 435e1299c3be6771eabee5f23066fa55 Description-en: Dump PostgreSQL files in human-readable form pg_filedump is a utility to format PostgreSQL heap/index/control files in human-readable form. Package: postgresql-pgrouting Description-md5: b77a4c34164996bac413da05ad5b0517 Description-en: Routing functionality support for PostgreSQL/PostGIS - Metapackage pgRouting extends the PostGIS/PostgreSQL geospatial database to provide geospatial routing and other network analysis functionality. . This metapackage depends on the PgRouting package for PostgreSQL 17. Package: postgresql-pgrouting-scripts Description-md5: 3ae1ac4a4f5eb26ce9cbcf2fa295d62f Description-en: Routing functionality support for PostgreSQL/PostGIS - SQL scripts metapackage pgRouting extends the PostGIS/PostgreSQL geospatial database to provide geospatial routing and other network analysis functionality. . This metapackage depends on the PgRouting scripts package for PostgreSQL 17. Package: postgresql-postgis Description-md5: 7feb413977a3ef242d986ebc7ffec2df Description-en: Geographic objects support for PostgreSQL -- Metapackage PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". . This metapackage depends on the PostGIS package for PostgreSQL 17. Package: postgresql-postgis-scripts Description-md5: d74f6cd713ecee94da159ca0cfcf5eef Description-en: Geographic objects support for PostgreSQL -- SQL scripts metapackage PostGIS adds support for geographic objects to the PostgreSQL object-relational database. In effect, PostGIS "spatially enables" the PostgreSQL server, allowing it to be used as a backend spatial database for geographic information systems (GIS), much like ESRI's SDE or Oracle's Spatial extension. PostGIS follows the OpenGIS "Simple Features Specification for SQL". . This metapackage depends on the PostGIS scripts package for PostgreSQL 17. Package: postgresql-server-dev-17 Description-md5: 4b83f4bbf28ca29441e563099103b8b8 Description-en: development files for PostgreSQL 17 server-side programming Header files for compiling SSI code to link into PostgreSQL's backend; for example, for C functions to be called from SQL. . This package also contains the Makefiles necessary for building add-on modules of PostgreSQL, which would otherwise have to be built in the PostgreSQL source-code tree. . PostgreSQL is an object-relational SQL database management system. Package: postgresql-server-dev-all Description-md5: 27ac3f69789728b5b5444cc5ee5cf4dc Description-en: extension build tool for multiple PostgreSQL versions The postgresql-server-dev-all package provides the pg_buildext script for simplifying packaging of a PostgreSQL extension supporting multiple major versions of the product, and dh_make_pgxs which builds a template debian/ source package directory based on pg_buildext. . PostgreSQL is a fully featured object-relational database management system. It supports a large part of the SQL standard and is designed to be extensible by users in many aspects. Its features include ACID transactions, foreign keys, views, sequences, subqueries, triggers, outer joins, multiversion concurrency control, and user-defined types and functions. Package: prime-phylo Description-md5: d1248884237c1baa3cb1e6264fb39885 Description-en: bayesian estimation of gene trees taking the species tree into account PrIME (Probabilistic Integrated Models of Evolution) is a package supporting inference of evolutionary parameters in a Bayesian framework using Markov chain Monte Carlo simulation. A distinguishing feature of PrIME is that the species tree is taken into account when analyzing gene trees. . The input data to PrIME is a multiple sequence alignment in FASTA format and the output data contains trees in Newick format. Package: privoxy Description-md5: 6ec5aaf09f151e319fd41d430dbd5eca Description-en: Privacy enhancing HTTP Proxy Privoxy is a web proxy with advanced filtering capabilities for protecting privacy, filtering web page content, managing cookies, controlling access, and removing ads, banners, pop-ups and other obnoxious Internet junk. Privoxy has a very flexible configuration and can be customized to suit individual needs and tastes. Privoxy has application for both stand-alone systems and multi-user networks. . Privoxy is based on Internet Junkbuster (tm). Package: procdump Description-md5: 2a902cba700e50b5fa9f01c4d1a3edbf Description-en: Utility to create core dumps based on performance triggers ProcDump provides a convenient way for Linux developers to create core dumps of their application based on performance triggers. Package: propellor Description-md5: 999d6dcb9dee319b5c340b4d77d9a3d9 Description-en: property-based host configuration management in haskell Propellor ensures that the system it's run in satisfies a list of properties, taking action as necessary when a property is not yet met. . It is configured using haskell. Package: prr Description-md5: 4f046eb2283a0ce8c80e6dcb18dabce8 Description-en: Mailing list style code reviews for GitHub prr is a tool that brings mailing list style code reviews to Github PRs. This means offline reviews and inline comments, more or less. . To that end, prr introduces a new workflow for reviewing PRs: 1. Download the PR into a "review file" on your filesystem 2. Mark up the review file using your favorite text editor 3. Submit the review at your convenience . For full documentation, please visit https://doc.dxuuu.xyz/prr/. . This package includes the prr program, as well as manual pages and shell completions for bash, fish, and zsh. Package: pstoedit Description-md5: b0a9a8cc50482c0c6306682badd8015c Description-en: PostScript and PDF files to editable vector graphics converter pstoedit converts Postscript and PDF files to various editable vector graphic formats including tgif, xfig, PDF graphics, gnuplot format, idraw, MetaPost, GNU Metafile, PIC, Kontour and flattened PostScript. Package: pterm Description-md5: 0fdfa7cada5930e860db2a7f8a244dac Description-en: PuTTY terminal emulator This is an X terminal emulator based on the popular Windows SSH client, PuTTY. It supports xterm escape sequences, plus some Linux console sequences for colour palette configuration and some DECterm sequences for title bar control, and with an appropriate font it supports the UTF-8 encoding of Unicode. It also has some nifty features like rectangular selection and NetHack keypad mode. Package: pterm-dbgsym Description-md5: cf8d32f76d76388bbf81c00fa88733ce Description-en: debug symbols for pterm Package: puppet Description-md5: 1f317b04f78374dba7d1e14def0b7f80 Description-en: transitional dummy package This is a transitional dummy package. It can safely be removed. Package: puppet-agent Description-md5: 9c11faacf3d08afaeacee81d1e4a7435 Description-en: configuration management system, agent Puppet is a configuration management system that allows you to define the state of your IT infrastructure, then automatically enforces the correct state. . This package contains the main Puppet libraries and the agent application. Package: puppet-module-aodh Description-md5: 2943c81e0d0b42481c94f33e88620f00 Description-en: Puppet module for OpenStack Aodh Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Aodh. Package: puppet-module-barbican Description-md5: 2aceff52446ab0b79a3eb19262de4aab Description-en: Puppet module for OpenStack Barbican Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Barbican. Package: puppet-module-ceilometer Description-md5: 253bf13574e6265a76d20ce5c81e242a Description-en: Puppet module for OpenStack Ceilometer Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Ceilometer. Package: puppet-module-cinder Description-md5: d6a88eed3817ba29f511d7a256f1d0ef Description-en: Puppet module for OpenStack Cinder Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Cinder. Package: puppet-module-cloudkitty Description-md5: 4c347c51fbc7e158a75a54fa9841bb44 Description-en: Puppet module for OpenStack CloudKitty Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack CloudKitty rating engine. Package: puppet-module-designate Description-md5: f3e49edbea169540ad7bb0c6d19cad92 Description-en: Puppet module for OpenStack Designate Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Designate. Package: puppet-module-glance Description-md5: 53e6aa0ac147436c0e36070b7639d192 Description-en: Puppet module for OpenStack Glance Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Glance. Package: puppet-module-gnocchi Description-md5: e9de2d2275cb19c4d25c6acde46cd33f Description-en: Puppet module for OpenStack Gnocchi Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Gnocchi. Package: puppet-module-heat Description-md5: d2bf527f41f98b73398a67486d9bcdf9 Description-en: Puppet module for OpenStack Heat Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Heat. Package: puppet-module-horizon Description-md5: 825fdb2a9e79c01f52cf706f25bdb17d Description-en: Puppet module for OpenStack Horizon Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Horizon. Package: puppet-module-ironic Description-md5: 1829a0b82392564ed270e99ab0f0bf7c Description-en: Puppet module for OpenStack Ironic Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Ironic. Package: puppet-module-keystone Description-md5: e78efe455bd513060e6e853e4ca90351 Description-en: Puppet module for OpenStack Keystone Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Keystone. Package: puppet-module-magnum Description-md5: 76c6faba58274f8e1c9e9f57ff5de322 Description-en: Puppet module for OpenStack Magnum Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Magnum. . Magnum is an OpenStack project which offers container orchestration engines for deploying and managing containers as first class resources in OpenStack. It features: * Abstractions for bays, containers, nodes, pods, replication controllers, and services * Integration with Kubernetes and Docker for backend container technology * Integration with Keystone for multi-tenant security * Integration with Neutron for Kubernetes multi-tenancy network security Package: puppet-module-manila Description-md5: f091fd3a16085cc66ed642061b7b80dc Description-en: Puppet module for OpenStack Manila Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Manila. Package: puppet-module-mistral Description-md5: 5c3d756f769977e74bf224cc018d9892 Description-en: Puppet module for OpenStack Mistral Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Mistral. Package: puppet-module-neutron Description-md5: d819ec6e5c1f1c939159a1a1ee693176 Description-en: Puppet module for OpenStack Neutron Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Neutron. Package: puppet-module-nova Description-md5: 3790a6e3f03428bf7d9637398b8b28e9 Description-en: Puppet module for OpenStack Nova Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Nova. Package: puppet-module-octavia Description-md5: fbd0c80ac2e71d9411cb322898349cef Description-en: Puppet module for OpenStack Octavia Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Octavia. Package: puppet-module-oslo Description-md5: 8bb458e37b2bfa1c6244105a6e2d51d0 Description-en: Puppet module for OpenStack oslo lib using components Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages the configuration of components using OpenStack Oslo. Package: puppet-module-placement Description-md5: 219997f1e040b0f2af8ad95fac6c2b5b Description-en: Puppet module for OpenStack Placement Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Placement. . OpenStack Placement provides an HTTP service for managing, selecting, and claiming providers of classes of inventory representing available resources in a cloud. Package: puppet-module-swift Description-md5: c65ff7b9bb1ef0d234ee35ca7395bc5e Description-en: Puppet module for OpenStack Swift Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Swift. Package: puppet-module-tempest Description-md5: 5cc392ea58b809260354dbfa61d82ae6 Description-en: Puppet module for OpenStack Tempest Puppet lets you centrally manage every important aspect of your system using a cross-platform specification language that manages all the separate elements normally aggregated in different files, like users, cron jobs, and hosts, along with obviously discrete elements like packages, services, and files. . This module manages both the installation and configuration of OpenStack Tempest. . Tempest is a set of integration tests to be run against a live Openstack cluster in order to make sure that all components are working as expected. Tempest will start and stop virtual machine in order to check that your cloud is working as expected. Package: puppetserver Description-md5: 6548d3df7d02873136cb18ff1d650bfd Description-en: configuration management system, server Puppet is a configuration management system that allows you to define the state of your IT infrastructure, then automatically enforces the correct state. . This package contains the Ruby/Clojure server component which compiles configurations for a fleet of Puppet agent nodes. This implementation replaces the previous Ruby-only implementation known as Puppet Master. Package: putty Description-md5: 66d775d58e68e927fea5c45675a7cf4a Description-en: Telnet/SSH client for X This is the Unix port of the popular Windows SSH client, PuTTY. It supports flexible terminal setup, mid-session reconfiguration using Ctrl-rightclick, multiple X11 authentication protocols, and various other interesting things not provided by ssh in an xterm. . Pageant is an SSH authentication agent, and a tool for communicating with an already-running agent. Package: putty-dbgsym Description-md5: 4aa861d516b3f4993c906713fc41398e Description-en: debug symbols for putty Package: putty-doc Description-md5: ed28516dea967b46064d63c144b74e5c Description-en: PuTTY HTML documentation Full HTML documentation for the PuTTY suite of programs (PuTTY, pterm, Plink, PSCP, PSFTP, and PuTTYgen). Package: putty-tools Description-md5: 10b9b5657aedab36de2e128c38b5a76e Description-en: command-line tools for SSH, SCP, and SFTP This package provides several command-line tools from the PuTTY suite that allow various kinds of secure interaction with remote systems. . Plink (PuTTY Link) connects to a remote system via SSH, Telnet, or rlogin, and either starts an interactive session or runs a batch process. It supports the usual SSH features like port forwarding, X11 forwarding, SOCKS, and compression. . PSCP (PuTTY Secure Copy) transfers files securely between systems using an SSH connection. It works with both SSH protocol 1 and protocol 2. . PSFTP (PuTTY SFTP client) transfers files securely between systems using the SSH file transfer protocol, which is specific to SSH protocol 2. In interactive mode its interface is much like the traditional Unix FTP client, although it can also operate in batch mode. . PuTTYgen generates SSH public and private key pairs in any of a number of formats, including those usable by PuTTY, OpenSSH, and ssh.com. . psusan (pseudo-SSH for untappable, separately authenticated networks) is a server program that behaves like the innermost "connection" layer of an SSH session, without the two outer security layers of encryption and authentication. It can be used to add SSH-like amenities to channels where you were already prepared to run a bare shell session. Package: putty-tools-dbgsym Description-md5: 33740884421a47d8f0d48311ad322804 Description-en: debug symbols for putty-tools Package: python-aiohttp-doc Description-md5: 3c8129e3bdeb5060e745f9baecf62116 Description-en: documentation of python3-aiohttp HTTP Client for asyncio (PEP 3156 - Asynchronous I/O Support). . Features: * supports both client and server side of HTTP protocol, * supports both client and server Web-Sockets out-of-the-box, * web-server has middlewares and pluggable routing. . This package provides HTML documentation for aiohttp. Package: python-aiohttp-session-doc Description-md5: 4d1313fe34cb5dfeb1fa67503ac8104a Description-en: provides sessions for aiohttp.web (documentation) The library allows us to store user-specific data into a session object. . The session object has a dict-like interface (operations like session[key] = value, value = session[key] etc. are present). . Before processing the session in a web-handler, you have to register the session middleware in aiohttp.web.Application. . This package provides the HTML documentation. Package: python-apt-dev Description-md5: 57c4bd1cc13f9b16f01048f636ef0d16 Description-en: Python interface to libapt-pkg (development files) The apt_pkg Python interface will provide full access to the internal libapt-pkg structures allowing Python programs to easily perform a variety of functions. . This package contains the header files needed to use python-apt objects from C++ applications. Package: python-astropy-doc Description-md5: 142e0ddf11adb0314ec7d19a6e6e32f1 Description-en: Core functionality for performing astrophysics with Python (doc) The astropy package contains core functionality and some common tools needed for performing astronomy and astrophysics research with Python. It can be extended by a number of "affiliated packages" that are intended to work with the core package. . This package contains the package documentation. Package: python-asv-bench-memray-doc Description-md5: 73fee97ad5943684890880bd65ef19d9 Description-en: Documentation for python-asv-bench-memray This a proof-of-concept externally defined memray benchmark plugin for asv. Like all externally defined benchmark plugins for asv, this has a strict hierarchy. The package name begins with asv_bench. Benchmarks are defined in a benchmarks folder under the package module. Each exported new benchmark type has the export_as_benchmark = [NAMEBenchmark] attribute. . This package contains documentation for asv-bench-memray. Package: python-bioxtasraw-doc Description-md5: 033009f2bb1ede353637d730f56c3677 Description-en: process small angle scattering data (documentation) BioXTAS RAW is a GUI based, Python program for reduction and analysis of small-angle X-ray solution scattering (SAXS) data. The package is designed for biological SAXS data. . BioXTAS RAW provides an alternative to closed source programs such as Primus and Scatter for primary data analysis. Because it can calibrate, mask, and integrate images it also provides an alternative to synchrotron beamline pipelines that scientists can install on their own computers and use both at home and at the beamline. . This is the BioXTAS RAW documentation package. Package: python-cogent-doc Description-md5: c500b1c82c580b2eec9f3cdab53c4831 Description-en: docs for python3-cogent3 PyCogent is a software library for genomic biology. . It is distinguished by many unique built-in capabilities (such as true codon alignment) and the frequent addition of entirely new methods for the analysis of genomic data. . This package contains documentation and examples. Package: python-dipy-doc Description-md5: 76349f09501f428a0a83ec425c718e39 Description-en: Python library for the analysis of diffusion MRI datasets -- documentation DIPY is a library for the analysis of diffusion magnetic resonance imaging data. . This package provides the documentation in HTML format. Package: python-django-channels-doc Description-md5: 0f70bba9b5a1c5509cbf62b0aa5bdca6 Description-en: Developer-friendly asynchrony for Django (Documentation) Channels is a project to make Django able to handle more than just plain HTTP requests, including WebSockets and HTTP2, as well as the ability to run code after a response has been sent for things like thumbnailing or background calculation. . It’s an easy-to-understand extension of the Django view model, and easy to integrate and deploy. . This package contains the documentation. Package: python-django-crispy-forms-doc Description-md5: f7f3fb11e3bf8a309ff7a14f2edfa9d2 Description-en: app for Django providing elegant form rendering (Documentation) django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . Django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 2, 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the documentation. Package: python-django-crispy-forms-foundation-doc Description-md5: e981954e45864cf01eb8543e81d2a174 Description-en: Django-crispy-forms layout objects for Foundation for sites (Documentation) This is a Django application to add django-crispy-forms layout objects for the CSS framework Foundation for sites. It depends on the python3-crispy-forms library. . django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the documentation. Package: python-ffcx-doc Description-md5: f8c0252e8577635a3d12a20d2f463705 Description-en: next-gen compiler for finite element variational forms (docs) The FEniCS Form Compiler FFC provides state-of-the-art automatic and efficient evaluation of general multilinear forms (variational formulations) for FEniCS. FFC functions as the form evaluation system for DOLFIN but can also be used to compile forms for other systems. . FFC works as a compiler for multilinear forms by generating code (C or C++) for the evaluation of a multilinear form given in mathematical notation. This new approach to form evaluation makes it possible to combine generality with efficiency; the form can be given in mathematical notation and the generated code is as efficient as hand-optimized code. . This package installs documentation for the next-generation FFC-X library. Package: python-h5py-doc Description-md5: 1662e5d2c9d77e3f74e46e64439c1344 Description-en: documentation for h5py HDF5 for Python (h5py) is a general-purpose Python interface to the Hierarchical Data Format library, version 5. HDF5 is a versatile, mature scientific software library designed for the fast, flexible storage of enormous amounts of data. . From a Python programmer's perspective, HDF5 provides a robust way to store data, organized by name in a tree-like fashion. You can create datasets (arrays on disk) hundreds of gigabytes in size, and perform random-access I/O on desired sections. Datasets are organized in a filesystem-like hierarchy using containers called "groups", and accessed using the tradional POSIX /path/to/resource syntax. . H5py provides a simple, robust read/write interface to HDF5 data from Python. Existing Python and Numpy concepts are used for the interface; for example, datasets on disk are represented by a proxy class that supports slicing, and has dtype and shape attributes. HDF5 groups are presented using a dictionary metaphor, indexed by name. . This package provides the documentation. Package: python-mapclassify-doc Description-md5: c027e6544a1b0ffc783c6faea581c2e2 Description-en: Classification Schemes for Choropleth Maps (common documentation) Library that provides tools for classifying geographic data on maps. It allows you to divide a spatial dataset into different classes or ranges, making it easier to visualize and analyze this data on maps. . This library is especially useful for grouping and categorizing geographic information, helping to identify patterns and trends in data. . It supports multiple classification methods such as Jenks Natural Breaks, Equal Interval and Quantile, among others, allowing users to choose the best approach for their specific analysis. Additionally, the library is integrated with other popular geographic data analysis tools, making it easy to incorporate their functionality into existing workflows. . This package contains HTML documentation, incorporates instructions on how to install and configure and use this module with mapclassify. Package: python-mpi4py-doc Description-md5: bb9dc654964860074ddc267a1cb16282 Description-en: bindings of the MPI standard -- documentation MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). . This package provides HTML rendering of the user's manual. Package: python-notebook-doc Description-md5: 5193dd9d2354e8ca81574eb5f5933104 Description-en: Jupyter interactive notebook (documentation) The Jupyter Notebook is a web application that allows you to create and share documents that contain live code, equations, visualizations, and explanatory text. The Notebook has support for multiple programming languages, sharing, and interactive widgets. . This package contains the documentation. Package: python-petsc4py-doc Description-md5: 13ea19d3c484e4356d55475bc745d0fb Description-en: Python bindings for PETSc libraries: documentation and examples PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . petsc4py provides Python bindings to almost all functions of PETSc. This package provides documentation and examples for petsc4py. Package: python-pint-doc Description-md5: 99900a3127c3671bcad7e5d6ff2ea4b3 Description-en: define, operate and manipulate physical quantities - doc Pint is Python module/package to define, operate and manipulate physical quantities: the product of a numerical value and a unit of measurement. It allows arithmetic operations between them and conversions from and to different units. . It is distributed with a comprehensive list of physical units, prefixes and constants. Due to its modular design, you can extend (or even rewrite!) the complete list without changing the source code. . This package contains the documentation. Package: python-ppmd-doc Description-md5: a6a00c01823b7c839be85ec9735c8b48 Description-en: documentation for the ppmd Python library This package provides documentation for ppmd Package: python-psycopg-doc Description-md5: 483f3d3d2e21f421125dc41abfd7662d Description-en: PostgreSQL database adapter for Python 3 (documentation package) Psycopg 3 is a newly designed PostgreSQL database adapter for the Python programming language. . Psycopg 3 is a complete rewrite of Psycopg 2, maintaining the same fundamental libpq wrapper architecture and DB-API interface design, but exposing new features to better work with the newer versions of Python and PostgreSQL. . On the Python side, Psycopg 3 allows the use of asyncio-based concurrency and static typing. Many improvement to the Python interface make the library much simpler and more idiomatic to use, . On the PostgreSQL side, Psycopg 3 makes use of server-side parameters, prepared statements, binary parameters, and great support for COPY operations. . Psycopg 3 presents a familiar interface for everyone who has used Psycopg 2 or any other `DB-API 2.0` database adapter, but allows one to use more modern PostgreSQL and Python features, such as: - Strict Strong Typing - asynchronous support - server-side parameters binding - binary communication - a great integration of the COPY support - direct access to the libpq functionalities . This package contains the psycopg3 documentation Package: python-pygame-doc Description-md5: 2cdb22fac114239e5de60516ac41696d Description-en: SDL bindings for games development in Python (documentation) A multimedia development kit for Python. Pygame provides modules for you to access the video display, play sounds, track time, read the mouse and joystick, control the CD player, render true type fonts and more. It does this using mainly the cross-platform SDL library, a lightweight wrapper to OS-specific APIs. . This package contains Pygame's API documentation and examples. Package: python-pygit2-doc Description-md5: e0c67780f3a551165a6c96945a4a5151 Description-en: Python bindings for libgit2 (Documentation) The Pygit2 module provides a set of Python bindings to the libgit2 shared library. libgit2 implements the core of Git. Pygit2 works with Python 2.7, 3.x and pypy. . This package contains the documentation. Package: python-pymeasure-doc Description-md5: 860ce39ecd7e7766000198d4e9bcff5d Description-en: documentation for PyMeasure PyMeasure makes scientific measurements easy to set up and run. . The package contains a repository of instrument classes and a system for running experiment procedures, which provides graphical interfaces for graphing live data and managing queues of experiments. Both parts of the package are independent, and when combined provide all the necessary requirements for advanced measurements with only limited coding. . This package provides the documentation. Package: python-qtconsole-doc Description-md5: db525f0555fc995b5859e9fe66934cc3 Description-en: Jupyter - Qt console (documentation) IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the qtconsole library documentation. Package: python-selenium-doc Description-md5: 9a8ae9ab8cb12fe314c6e36db242fd01 Description-en: Python3 bindings for Selenium (Documentation) Python3 language bindings for Selenium WebDriver. . The `selenium` package is used automate web browser interaction from Python. Several browsers/drivers are supported (Chrome, Chromium, Edge, Firefox, Internet Explorer and Safari), as well as the Remote protocol. . This package installs the documentation for the library. Package: python-slepc4py-doc Description-md5: ad93cda14eccf22ff0d53fdea38497a8 Description-en: Python bindings for SLEPc libraries: documentation and examples SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . slepc4py provides Python bindings to almost all functions of SLEPc. This package provides documentation and examples. Package: python-soxr-doc Description-md5: 71c9793267b323e84e794815db0356fb Description-en: Convert one-dimensional sampling rate (cammon documentation) Soxr is a Python library designed to perform resampling of audio files, allowing you to convert between different sample rates efficiently and accurately. With this tool it is possible to obtain fast and very high quality results for any resampling ratio. . This is the common documentation package. Package: python-tango-doc Description-md5: 13956e45a5367529bb9dbeb988fbe63e Description-en: API for the TANGO control system TANGO is an object oriented distributed control system using CORBA, mainly developed by the Controls Section of the ALBA Synchrotron. PyTango provides bindings for its client- and server-side C++ APIs. With PyTango, you can write TANGO device servers and TANGO applications (scripts, CLIs, GUIs) that access TANGO device servers in pure Python. . This package contains the documentation for the python-tango library. Package: python-wtforms-doc Description-md5: 63be8db533edf7415cf253381c634531 Description-en: Flexible forms validation and rendering library for Python (Documentation) WTForms is a flexible forms validation and rendering library for Python web development. It can work with whatever web framework and template engine you choose. It supports data validation, CSRF protection, internationalization (I18N), and more. There are various community libraries that provide closer integration with popular frameworks. . This package contains the Sphinx based HTML Documentation for WTForms. Package: python-xraylarch-doc Description-md5: ada0ae9b96ded7178e7da0c5b49633df Description-en: X-ray Larch data analysis (documentation) Larch is a library and set of applications for processing and analyzing X-ray absorption and fluorescence spectroscopy data and X-ray fluorescence and diffraction image data from synchrotron beamlines. . Larch is especially focussed on X-ray absorption fine-structure spectroscopy (XAFS) including X-ray absorption near-edge spectroscopy (XANES) and extended X-ray absorption fine-structure spectroscopy (EXAFS). It also supports visualization and analysis tools for X-ray fluorescence (XRF) spectra and XRF and X-ray diffraction (XRD) images as collected at scanning X-ray microprobe beamlines. . This is the documentation package. Package: python-xsdata-doc Description-md5: 7f79b623c8bdf547c72e98458101a0b0 Description-en: Naive XML Bindings for Python (common documentation) xsData is a complete XML data binding library for Python allowing developers to access and use XML documents as simple objects rather than using DOM. . It ships with a code generator for XML Schema definitions and WSDL 1.1 with SOAP 1.1 bindings. It produces simple dataclasses with type hints that don't depend on the library itself in order to reduce the learning curve for users that have to write the binding models manually. . The included xml parser and serializer are highly optimized and adaptable with handlers based on native Python and lxml. The parser configuration offers the ability to skip unknown properties and to process xinclude statements. . xsData is constantly tested against the W3C XML Schema 1.1 test suite. . This is the common documentation package. Package: python-yarl-doc Description-md5: 2f38e511d0c65d03f5f47496d5e82a79 Description-en: documentation for the yarl Python library URL is constructed from `str`: . >>> from yarl import URL >>> url = URL('https://www.python.org/~guido?arg=1#frag') >>> url URL('https://www.python.org/~guido?arg=1#frag') . All URL parts: scheme, user, passsword, host, port, path, query and fragment are accessible by properties: . >>> url.scheme 'https' >>> url.host 'www.python.org' >>> url.path '/~guido' >>> url.query_string 'arg=1' >>> url.query >>> url.fragment 'frag' . All URL manipulations produces a new URL object: . >>> url.parent / 'downloads/source' URL('https://www.python.org/downloads/source') . Strings passed to constructor and modification methods are automatically encoded giving canonical representation as result:: . >>> url = URL('https://www.python.org/путь') >>> url URL('https://www.python.org/%D0%BF%D1%83%D1%82%D1%8C') . Regular properties are percent-decoded, use `raw_` versions for getting encoded strings: . >>> url.path '/путь' . >>> url.raw_path '/%D0%BF%D1%83%D1%82%D1%8C' . Human readable representation of URL is available as `.human_repr()`: . >>> url.human_repr() 'https://www.python.org/путь' . This package provides documentation for yarl Package: python3-adios2 Description-md5: cff0fa3850423e44b9a37de72f9865f5 Description-en: ADIOS2 Adaptable IO system for simulations - Python bindings The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This is a dependency package raquiring either the serial or MPI build of the ADIOS2 Python bindings. Package: python3-adios2-mpi Description-md5: d9139203cc98934b023fd627c0087a56 Description-en: ADIOS2 Adaptable IO system for simulations - Python bindings (MPI) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Python bindings for the MPI build of ADIOS2. Package: python3-adios2-serial Description-md5: d4fc795a93c344b90d1b9a6b21f36b90 Description-en: ADIOS2 Adaptable IO system for simulations - Python bindings (serial) The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. . This package contains the Python bindings for the serial build of ADIOS2. Package: python3-adios4dolfinx Description-md5: e955fded139beecd5bccfd44312faf1b Description-en: ADIOS2Wrappers for DOLFINx (Python 3) adios4dolfinx is an extension for DOLFINx to checkpoint meshes, meshtags and functions using ADIOS2. . The code uses the adios2 Python-wrappers to write DOLFINx objects to file, supporting N-to-M (recoverable) and N-to-N (snapshot) checkpointing. . For scalability, the code uses MPI Neighbourhood collectives for communication across processes. . This package installs the library for Python 3. Package: python3-aiohttp Description-md5: f730c1eb49e4f113817da234d7a43357 Description-en: http client/server for asyncio HTTP Client for asyncio (PEP 3156 - Asynchronous I/O Support). . Features: * supports both client and server side of HTTP protocol, * supports both client and server Web-Sockets out-of-the-box, * web-server has middlewares and pluggable routing. Package: python3-aiohttp-session Description-md5: ffdd3da6906950a72ffb3addfa84f05d Description-en: provides sessions for aiohttp.web The library allows us to store user-specific data into a session object. . The session object has a dict-like interface (operations like session[key] = value, value = session[key] etc. are present). . Before processing the session in a web-handler, you have to register the session middleware in aiohttp.web.Application. Package: python3-aiowebostv Description-md5: 35db816320daed00ccaf7799648527b4 Description-en: Library to control webOS based LG TV devices This package provides a Python library to control LG webOS based TV devices. . This package is a dependency of Home Assistant. Package: python3-all-venv Description-md5: 011e01434173437729b22aa43ba76c7a Description-en: package depending on all supported Python 3 venv modules The package currently depends on python3.12-venv and python3.13-venv. In the future, dependencies on jython (Python for a JVM) and ironpython (Python for Mono) may be added. . This package is a dependency package used as a build dependency for other packages to avoid hardcoded dependencies on specific Python 3 venv packages. Package: python3-apbslib Description-md5: 886600253ef60a6174f758ef34f5c08b Description-en: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. . This package provides both the apbs and apbslib modules for Python3 enabling Python applications to access apbs. Package: python3-astropy Description-md5: d68fca10e089e4294adf8b1b26f541e5 Description-en: Core functionality for performing astrophysics with Python The astropy package contains core functionality and some common tools needed for performing astronomy and astrophysics research with Python. It can be extended by a number of "affiliated packages" that are intended to work with the core package. Package: python3-asv-bench-memray Description-md5: 785a5a05228ea0ab59d245a1861acd35 Description-en: Memray benchmark plugin for asv This a proof-of-concept externally defined memray benchmark plugin for asv. Like all externally defined benchmark plugins for asv, this has a strict hierarchy. The package name begins with asv_bench. Benchmarks are defined in a benchmarks folder under the package module. Each exported new benchmark type has the export_as_benchmark = [NAMEBenchmark] attribute. Package: python3-async-timeout Description-md5: 02717b94af0633086c3d33fb2d1073cd Description-en: timeout context manager for asyncio programs in Python The context manager is useful in cases when you want to apply timeout logic around block of code or in cases when asyncio.wait_for() is not suitable. Also it's much faster than asyncio.wait_for() because timeout doesn't create a new task. . Example: . with timeout(1.5): yield from inner() Package: python3-basix Description-md5: a1e3538433bc3ecb969f0f2161b29bdc Description-en: Finite Element Basis Function Definition Runtime Library (Python 3) Computes FE basis functions and derivatives for the following elements: - Lagrange (interval, triangle, tetrahedron, prism, pyramid, quadrilateral, hexahedron) - Nédélec (triangle, tetrahedron) - Nédélec Second Kind (triangle, tetrahedron) - Raviart-Thomas (triangle, tetrahedron) - Regge (triangle, tetrahedron) - Crouzeix-Raviart (triangle, tetrahedron) . Computes quadrature rules on different cell types . Provides reference topology and geometry for reference cells of each type. . Python wrapper provided with pybind11. . This package installs the library for Python 3. Package: python3-bioxtasraw Description-md5: d545ca733a9a9e3ef2356361ef1d6d30 Description-en: process biological small angle scattering data BioXTAS RAW is a GUI based, Python program for reduction and analysis of small-angle X-ray solution scattering (SAXS) data. The package is designed for biological SAXS data. . BioXTAS RAW provides an alternative to closed source programs such as Primus and Scatter for primary data analysis. Because it can calibrate, mask, and integrate images it also provides an alternative to synchrotron beamline pipelines that scientists can install on their own computers and use both at home and at the beamline. Package: python3-bornagain Description-md5: 26124151b54d7a57d391ae2e277866ce Description-en: Simulate and fit X-ray and neutron GISAS -- Python3 BornAgain is a software package to simulate and fit small-angle scattering at grazing incidence. It supports analysis of both X-ray (GISAXS) and neutron (GISANS) data. Calculations are carried out in the framework of the distorted wave Born approximation (DWBA). BornAgain provides a graphical user interface for interactive use as well as a generic Python and C++ framework for modeling multilayer samples with smooth or rough interfaces and with various types of embedded nanoparticles. . BornAgain supports: . Layers: * Multilayers without any restrictions on the number of layers * Interface roughness correlation * Magnetic materials . Particles: * Choice between different shapes of particles (form factors) * Particles with inner structures * Assemblies of particles * Size distribution of the particles (polydispersity) . Positions of Particles: * Decoupled implementations between vertical and planar positions * Vertical distributions: particles at specific depth in layers or on top. * Planar distributions: - fully disordered systems - short-range order distribution (paracrystals) - two- and one-dimensional lattices . Input Beam: * Polarized or unpolarized neutrons * X-ray * Divergence of the input beam (wavelength, incident angles) following different distributions * Possible normalization of the input intensity . Detector: * Off specular scattering * Two-dimensional intensity matrix, function of the output angles . Use of BornAgain: * Simulation of GISAXS and GISANS from the generated sample * Fitting to reference data (experimental or numerical) * Interactions via Python scripts or Graphical User Interface . If you use BornAgain in your work, please cite C. Durniak, M. Ganeva, G. Pospelov, W. Van Herck, J. Wuttke (2015), BornAgain — Software for simulating and fitting X-ray and neutron small-angle scattering at grazing incidence, version , http://www.bornagainproject.org . This package contains the Python bindings for use in scripts. Package: python3-brial Description-md5: c156f962c6f2314f9f45be4d5ac79611 Description-en: polynomials over Boolean Rings, Python 3 module The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl Python 3 module. Package: python3-casacore Description-md5: ef8e0b896ad9e6e228e48c70e6a884c4 Description-en: Python bindings to the casacore library The casacore package contains the core libraries of the old AIPS++/CASA package. This split was made to get a better separation of core libraries and applications. CASA is now built on top of casacore. . This is the Python wrapper around the library. Package: python3-cctbx Description-md5: 82495d7385342d38e22c572566893a2d Description-en: Python Toolbox for crystallography Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide a selected collection of python modules from the cctbx project. Package: python3-clang Description-md5: e8435055596a95f7a331a56d9f63b142 Description-en: Clang Python Bindings Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This is a dependency package providing the Python binding to Clang. Package: python3-clang-19 Description-md5: 2c9b6237594ba364f2afb9b0458f2f14 Description-en: Clang Python Bindings Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This binding package provides access to the Clang compiler and libraries. Package: python3-clang-20 Description-md5: 2c9b6237594ba364f2afb9b0458f2f14 Description-en: Clang Python Bindings Clang project is a C, C++, Objective C and Objective C++ front-end based on the LLVM compiler. Its goal is to offer a replacement to the GNU Compiler Collection (GCC). . Clang implements all of the ISO C++ 1998, 11, 14 and 17 standards and also provides most of the support of C++20. . This binding package provides access to the Clang compiler and libraries. Package: python3-cogent3 Description-md5: 7f66bef045873462e2411adbafa6b365 Description-en: framework for genomic biology PyCogent is a software library for genomic biology. It is a fully integrated and thoroughly tested framework for: * controlling third-party applications, * devising workflows; querying databases, * conducting novel probabilistic analyses of biological sequence evolution, and * generating publication quality graphics. It is distinguished by many unique built-in capabilities (such as true codon alignment) and the frequent addition of entirely new methods for the analysis of genomic data. Package: python3-confection Description-md5: 095b6b3dd22e7d751ec2af3b1ff21f37 Description-en: sweetest config system for Python3 Confection candy is a lightweight library that offers a configuration system letting you conveniently describe arbitrary trees of objects. . Configuration is a huge challenge for machine-learning code because you may want to expose almost any detail of any function as a hyperparameter. The setting you want to expose might be arbitrarily far down in your call stack, so it might need to pass all the way through the CLI or REST API, through any number of intermediate functions, affecting the interface of everything along the way. And then once those settings are added, they become hard to remove later. Default values also become hard to change without breaking backwards compatibility. . To solve this problem, confection offers a config system that lets you easily describe arbitrary trees of objects. The objects can be created via function calls you register using a simple decorator syntax. You can even version the functions you create, allowing you to make improvements without breaking backwards compatibility. The most similar config system we’re aware of is Gin, which uses a similar syntax, and also allows you to link the configuration system to functions in your code using a decorator. confection's config system is simpler and emphasizes a different workflow via a subset of Gin’s functionality. Package: python3-crispy-bootstrap4 Description-md5: 47e7994cbab86681f94fd5e07c50957f Description-en: Bootstrap 4 template pack for django-crispy-forms (Python3 version) Bootstrap4 template pack for django-crispy-forms. This template pack was included with the core django-crispy-forms package until version 2.0. . This package contains the Python 3 version of the library. Package: python3-cymem Description-md5: 91f25b04bb986bfa836f308afe8ede53 Description-en: cython memory pool for RAII-style memory management Cython Memory Helper provides two small memory-management helpers for Cython. They make it easy to tie memory to a Python object's life-cycle, so that the memory is freed when the object is garbage collected. Package: python3-dials Description-md5: a26aaa4d772b165678054abbbefca9ba Description-en: Diffraction Integration for Advanced Light Sources - Python3 The DIALS software is developed in a fully open-source, collaborative environment. The main development teams are based at Diamond Light Source and CCP4, in the UK, and at Lawrence Berkeley National Laboratory, USA. However, in the spirit of the open source movement, we welcome collaboration from anyone who wishes to contribute to the project. . To avoid “reinventing the wheel” as much as possible, the DIALS project builds on knowledge accumulated over many decades in the field of crystallographic data processing. We benefit greatly from the altruism of experts who contribute their ideas and advice, either directly or via their detailed publications on existing algorithms and packages such as XDS [2] and MOSFLM [3]. At the heart of the DIALS framework lies a design philosophy of hardware abstraction and a generalised model of the experiment that is inspired directly by material published on the seminal workshops on position sensitive detector software [1]. Continuing in the spirit of these workshops we held our own series of meetings, with talks from invited speakers, and code camps in which specific problems are addressed by intensive effort across the collaboration. Summaries of these meetings and copies of slides given as presentations are available here. . DIALS is written using Python and C++, making heavy use of the cctbx [4] for core crystallographic calculations and much infrastructure including a complete build system. Seamless interaction between the C++ and Python components of this hybrid system is enabled by Boost.Python. Python provides a useful ground for rapid prototyping, after which core algorithms and data structures may be transferred over to C++ for speed. High level interfaces of the hybrid system remain in Python, facilitating further development and code reuse both within DIALS and by third parties. . This is the Python 3 version of the package. Package: python3-dicoclient Description-md5: 9033d25d65d6cbf9d89aa3ec4f2aecee Description-en: RFC 2229 compliant dictionary client module and shell GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains a Python module that provides a Dico client and a simple interactive shell. Package: python3-dipy Description-md5: e620bab38cf0e2e257daf48cb72578d2 Description-en: Python library for the analysis of diffusion MRI datasets DIPY is a software project for computational neuroanatomy. It focuses on diffusion magnetic resonance imaging (dMRI) analysis and tractography but also contains implementations of other computational imaging methods such as denoising and registration that are applicable to the greater medical imaging and image processing communities. Additionally, DIPY is an international project which brings together scientists across labs and countries to share their state-of-the-art code and expertise in the same codebase, accelerating scientific research in medical imaging. . Here are some of the highlights: - Reconstruction algorithms: CSD, DSI, GQI, DTI, DKI, QBI, SHORE and MAPMRI - Fiber tracking algorithms: deterministic and probabilistic - Native linear and nonlinear registration of images - Fast operations on streamlines (selection, resampling, registration) - Tractography segmentation and clustering - Many image operations, e.g., reslicing or denoising with NLMEANS - Estimation of distances/correspondences between streamlines and connectivity matrices - Interactive visualization of streamlines in the space of images . This package contains the Python 3 version. Package: python3-dipy-lib Description-md5: a701ebb3546095a4b830d8b77a165e05 Description-en: Python library for the analysis of diffusion MRI datasets -- Python3 extensions DIPY is a library for the analysis of diffusion magnetic resonance imaging data. . This package provides architecture-dependent builds of the extensions for Python3. Package: python3-django-channels Description-md5: 67313aae23eab60aa74abc896cd58c98 Description-en: Developer-friendly asynchrony for Django (Python3 version) Channels is a project to make Django able to handle more than just plain HTTP requests, including WebSockets and HTTP2, as well as the ability to run code after a response has been sent for things like thumbnailing or background calculation. . It’s an easy-to-understand extension of the Django view model, and easy to integrate and deploy. . This package contains the Python 3 version of the library. Package: python3-django-crispy-forms Description-md5: cd8872a7d81d0836d82490e06d77defb Description-en: app for Django providing elegant form rendering (Python3 version) django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . Django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 2, 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the Python 3 version of the library. Package: python3-django-crispy-forms-foundation Description-md5: 7abc36c90aaaf520ce9bfc81d5983751 Description-en: Django-crispy-forms layout objects for Foundation for sites (Python3 version) This is a Django application to add django-crispy-forms layout objects for the CSS framework Foundation for sites. It depends on the python3-crispy-forms library. . django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the Python 3 version of the library. Package: python3-dns Description-md5: 32eaa5f6103e3bc4f5c85cabfab90328 Description-en: DNS client module for Python 3 This Python 3 module provides an DNS API for looking up DNS entries from within Python 3 modules and applications. This module is a simple, lightweight implementation. It is not as complete as python-dnspython, but is useful for many common applications. It does not support async. Package: python3-dolfin Description-md5: 77ebd7f575379d4276cb20694043b9c2 Description-en: Base Python interface for DOLFIN (Python 3) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This is the base package depending on specific dolfin builds. By default the version built against the preferred version of PETSc in /usr/lib/petsc is selected (with 32-bit indexing, but the alternative version (64-bit PETSc) can be selected by setting the environment variable PETSC_DIR. . This is the legacy version of DOLFIN, you may want to install the next-generation python3-dolfinx (DOLFIN-X) instead. Package: python3-dolfin-real Description-md5: cd25943676baf8079740fbee38d5cb3a Description-en: Python interface for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the Python interface for DOLFIN (Python 3) build against the default version of PETSc (with 32-bit indexing) . This is the legacy version of DOLFIN, you may want to install the next-generation python3-dolfinx (DOLFIN-X) instead. Package: python3-dolfin64-real Description-md5: f0e44a41b798fdc367452f32a781ae4c Description-en: Python interface for DOLFIN (with 64-bit PETSc integers) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the Python interface for DOLFIN (Python 3) build against 64-bit PETSc (64-bit indexing) . This is the legacy version of DOLFIN, you may want to install the next-generation python3-dolfinx (DOLFIN-X) instead. Package: python3-dulwich Description-md5: 0e652fa6210d2be4be4c8bcbadddd180 Description-en: Python Git library - Python3 module Dulwich is a Python implementation of the file formats and protocols used by the Git version control system. It can currently read from and write to existing Git repositories and implements the protocol for pushing and receiving packs from remote servers. . All functionality is available in pure Python, but for improved performance replacements of some modules written in C are also available. This package includes the high performance versions. . This package contains the module built for Python version 3.x. Package: python3-ecflow Description-md5: 3b9b6741698e7be3f2253bc23fdd0cae Description-en: Python3 libraries for ecFlow workflow tools ecFlow is a meteorological work flow package that enables users to run a large number of programs ( with dependencies on each other and on time) in a controlled environment. . This package contains Python3 modules used in writing ecflow scripts. Package: python3-exactimage Description-md5: e47e4ef00b54dbe0a61365bacf616cfa Description-en: fast image manipulation library (Python3 bindings) ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the Python3 bindings. Package: python3-fastparquet Description-md5: 3651548d1250fb7492d5ab338ef6fdd3 Description-en: python implementation of the parquet format This is a python implementation of the parquet format, aiming integrate into python-based big data work-flows. It is used implicitly by the projects Dask, Pandas and intake-parquet. . This module offers a high degree of support for the features of the parquet format, and very competitive performance, in a small install size and codebase. Package: python3-ffcv Description-md5: fc45d0d45cb53d3f6df172de74130e82 Description-en: Fast Forward Computer Vision (and other ML workloads) This is a drop-in data loading system that dramatically increases data throughput in model training. Package: python3-ffcx Description-md5: ce45246bdbf72427c329c24ead46f7ad Description-en: next-gen compiler for finite element variational forms (Python 3) The FEniCS Form Compiler FFC provides state-of-the-art automatic and efficient evaluation of general multilinear forms (variational formulations) for FEniCS. FFC functions as the form evaluation system for DOLFIN but can also be used to compile forms for other systems. . FFC works as a compiler for multilinear forms by generating code (C or C++) for the evaluation of a multilinear form given in mathematical notation. This new approach to form evaluation makes it possible to combine generality with efficiency; the form can be given in mathematical notation and the generated code is as efficient as hand-optimized code. . This package installs the next-generation FFC-X library for Python 3. Package: python3-fonticon-fontawesome6 Description-md5: f55df6e7e4bf6ede2b4f7381f071860f Description-en: Font Awesome 6 extension for superqt font icons The superqt.fonticon module provides a set of utilities for working with font icons such as Font Awesome or Material Design Icons. This package provides an extension for Font Awesome 6. Package: python3-full Description-md5: 09f6467ee5117a021109bf3f7d07e0d8 Description-en: Default Python Interpreter with complete class library Python, the high-level, interactive object oriented language, includes an extensive class library with lots of goodies for network programming, system administration, sounds and graphics. . This package is a dependency package, which depends on the full standard library of Python for Python developers. Including modules used only at build-time, such as venv, and modules with complex dependencies, such as tk and IDLE. All batteries included. . This package depends on Debian's default python 3 version's full standard library (currently v3.12). . According to the Debian Python policy, this package must not be used in build dependencies, dependencies and recommendations. Package: python3-gattlib Description-md5: 16d3f3a7cf39404ecb2c3fa419b48a47 Description-en: Bluetooth LE GATT library for Python 3 This is a Python library to use the GATT Protocol for Bluetooth LE devices. It is a wrapper around the implementation used by gatttool in bluez package. Package: python3-gdl Description-md5: 31fe21263fa6b8ee43503daf97e9cc34 Description-en: Python interface for the GNU Data Language GNU Data Language (GDL) is a free IDL (Interactive Data Language) compatible incremental compiler. It has full syntax compatibility with IDL 7.1. IDL is a registered trademark of ITT Visual Information Solutions. From IDL 8.0, the following language elements are supported: . * FOREACH loop * negative array indices * garbage collection pointers and objects * call methods on an object using "." (e. g. `object.aMemberProcedure,arg1`) . The file input output system is fully implemented. GUI support (widgets) is officially provided, but it's not complete yet. . This package contains the Python library/interface. Package: python3-genx Description-md5: 532af7e4345a07615e761e413c9ad2ab Description-en: differential evolution algorithm for fitting GenX is a versatile program using the differential evolution algorithm for fitting, primarily, X-ray and neutron reflectivity data, lately also surface x-ray diffraction data. The differential evolution algorithm is a robust optimization method which avoids local minima but at same is a highly effective. GenX is written in Python and uses the wxpython package for the Graphical User Interface (GUI). A model to fit is defined either through a GUI plug-in or via a Python script. The possibility to script everything makes it easy to develop completely new fitting model. Clearly, GenX is extremely modular, making it possible to extend the program with models and plug-ins for most fitting problems. At the present GenX is shipped with models for x-ray and neutron specular reflectivity, off-specular x-ray reflectivity and surface x-ray diffraction Package: python3-geopandas Description-md5: 7915f3a9bc68354c563139f895e789dc Description-en: Python3 tools for geographic data GeoPandas is a project to add support for geographic data to pandas objects. It currently implements `GeoSeries` and `GeoDataFrame` types which are subclasses of `pandas.Series` and `pandas.DataFrame` respectively. GeoPandas objects can act on shapely geometry objects and perform geometric operations. . GeoPandas geometry operations are cartesian. The coordinate reference system (crs) can be stored as an attribute on an object, and is automatically set when loading from a file. Objects may be transformed to new coordinate systems with the `to_crs()` method. There is currently no enforcement of like coordinates for operations, but that may change in the future. . This package contains the Python 3 version of the library. Package: python3-getfem Description-md5: bb0fbc7c8b7f8895b85705bd1e7b1528 Description-en: Python interface to the GETFEM generic finite element library GETFEM is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. . This package contains the Python 3 interface to GETFEM. Package: python3-getfem++ Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: python3-gmsh Description-md5: b8894f4be0d9d8096f498cea62846ec0 Description-en: Three-dimensional finite element mesh generator Python 3 wrapper Gmsh is a 3D finite element grid generator with a build-in CAD engine and post-processor. Its design goal is to provide a fast, light and user-friendly meshing tool with parametric input and advanced visualization capabilities. Gmsh is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. . See Gmsh's reference manual for a more thorough overview of Gmsh's capabilities. . This package contains the Python 3 wrapper for gmsh. Package: python3-griffe Description-md5: ef3af2cdb62bdda558f70dee9853267a Description-en: Signatures for entire Python programs Extract the structure, the frame, the skeleton of your project, to generate API documentation or find breaking changes in your API. Package: python3-gudhi Description-md5: b39f3d9ab87d9093df507f36ea74ed65 Description-en: Python 3 interface to the GUDHI library The GUDHI library is a generic open source C++ library for Topological Data Analysis (TDA) and Higher Dimensional Geometry Understanding. The library offers state-of-the-art data structures and algorithms to construct simplicial complexes and compute persistent homology. . This package contains GUDHI's Python (3) interface. Package: python3-gyoto Description-md5: 86c128dab0a3d590c897a8a50d909742 Description-en: General relativistic geodesic integration for the Python 3 language Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. . This package also includes a Gyoto plug-in allowing to write new Gyoto objects in the Python 3 language. . This package provides an extension for the Python 3 programming language exposing the Gyoto facilities. It allows using Gyoto interactively from the Python 3 prompt or running complex Gyoto scripts. . Producing videos requires the python3-opencv package. Package: python3-h5py Description-md5: babe5999c3c9449de8bcbd876b20685d Description-en: general-purpose Python interface to hdf5 HDF5 for Python (h5py) is a general-purpose Python interface to the Hierarchical Data Format library, version 5. HDF5 is a versatile, mature scientific software library designed for the fast, flexible storage of enormous amounts of data. . From a Python programmer's perspective, HDF5 provides a robust way to store data, organized by name in a tree-like fashion. You can create datasets (arrays on disk) hundreds of gigabytes in size, and perform random-access I/O on desired sections. Datasets are organized in a filesystem-like hierarchy using containers called "groups", and accessed using the tradional POSIX /path/to/resource syntax. . H5py provides a simple, robust read/write interface to HDF5 data from Python. Existing Python and Numpy concepts are used for the interface; for example, datasets on disk are represented by a proxy class that supports slicing, and has dtype and shape attributes. HDF5 groups are presented using a dictionary metaphor, indexed by name. . This is a simple dependency package which depends on the serial or MPI build of h5py and provides test data files. Package: python3-h5py-mpi Description-md5: 584c350250e98bc9ad355fb3a21312a9 Description-en: general-purpose Python interface to hdf5 (Python 3 MPI) HDF5 for Python (h5py) is a general-purpose Python interface to the Hierarchical Data Format library, version 5. HDF5 is a versatile, mature scientific software library designed for the fast, flexible storage of enormous amounts of data. . From a Python programmer's perspective, HDF5 provides a robust way to store data, organized by name in a tree-like fashion. You can create datasets (arrays on disk) hundreds of gigabytes in size, and perform random-access I/O on desired sections. Datasets are organized in a filesystem-like hierarchy using containers called "groups", and accessed using the tradional POSIX /path/to/resource syntax. . H5py provides a simple, robust read/write interface to HDF5 data from Python. Existing Python and Numpy concepts are used for the interface; for example, datasets on disk are represented by a proxy class that supports slicing, and has dtype and shape attributes. HDF5 groups are presented using a dictionary metaphor, indexed by name. . This package provides the modules for Python 3, built with support for MPI (multiprocessor) jobs. Package: python3-h5py-serial Description-md5: 6a6d79c4682ca50ade0b56b9a907dcc7 Description-en: general-purpose Python interface to hdf5 (Python 3 serial) HDF5 for Python (h5py) is a general-purpose Python interface to the Hierarchical Data Format library, version 5. HDF5 is a versatile, mature scientific software library designed for the fast, flexible storage of enormous amounts of data. . From a Python programmer's perspective, HDF5 provides a robust way to store data, organized by name in a tree-like fashion. You can create datasets (arrays on disk) hundreds of gigabytes in size, and perform random-access I/O on desired sections. Datasets are organized in a filesystem-like hierarchy using containers called "groups", and accessed using the tradional POSIX /path/to/resource syntax. . H5py provides a simple, robust read/write interface to HDF5 data from Python. Existing Python and Numpy concepts are used for the interface; for example, datasets on disk are represented by a proxy class that supports slicing, and has dtype and shape attributes. HDF5 groups are presented using a dictionary metaphor, indexed by name. . This package provides the modules for Python 3, built for serial (single processor) jobs. Package: python3-hamlib Description-md5: 188aa72adeb9311d2d532ae3f6818013 Description-en: Run-time Python3 library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the Python3 bindings of the library. Package: python3-libxml2 Description-md5: e0b5bce27d5c0bcc6fdf3991eb60ce4b Description-en: GNOME XML library - Python3 bindings XML is a metalanguage to let you design your own markup language. A regular markup language defines a way to describe information in a certain class of documents (eg HTML). XML lets you define your own customized markup languages for many classes of document. It can do this because it's written in SGML, the international standard metalanguage for markup languages. . This package contains the files needed to use the GNOME XML library in Python3 programs. Package: python3-lldb Description-md5: 92f8664212675babf13be8b3c1453716 Description-en: Next generation, high-performance debugger, python lib LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This is a dependency package providing the Python binding to lldb. Package: python3-lldb-19 Description-md5: 0185ffb3cdaadce7edcc313c1e68ae92 Description-en: Next generation, high-performance debugger, python3 lib LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This binding package provides access to lldb. Package: python3-lldb-20 Description-md5: 0185ffb3cdaadce7edcc313c1e68ae92 Description-en: Next generation, high-performance debugger, python3 lib LLDB is a next generation, high-performance debugger. It is built as a set of reusable components which highly leverage existing libraries in the larger LLVM Project, such as the Clang expression parser and LLVM disassembler. . This binding package provides access to lldb. Package: python3-llm Description-md5: d364219a660c051f6b27f68aff34d8c0 Description-en: CLI utility and library for interacting with Large Language Models Tool for engaging with Large Language Models via both remote APIs and locally-hosted models. This tool allows users to run text prompts from the command line and store output in databases like SQLite. It also supports generating embeddings from text input. Users can interact with models such as those from OpenAI and other providers through plugins, enabling both remote and local deployment of various AI models. This makes it possible to run advanced language processing tasks on personal devices. Additionally, the tool interfaces with a wide array of language models, offering flexibility in its applications across numerous machine learning tasks. Package: python3-mapclassify Description-md5: fddb4c93c6e56e080f55a8729cbfe931 Description-en: Classification Schemes for Choropleth Maps Library that provides tools for classifying geographic data on maps. It allows you to divide a spatial dataset into different classes or ranges, making it easier to visualize and analyze this data on maps. . This library is especially useful for grouping and categorizing geographic information, helping to identify patterns and trends in data. . It supports multiple classification methods such as Jenks Natural Breaks, Equal Interval and Quantile, among others, allowing users to choose the best approach for their specific analysis. Additionally, the library is integrated with other popular geographic data analysis tools, making it easy to incorporate their functionality into existing workflows. . This package provides the Python 3.x module Package: python3-mapnik Description-md5: 694098027e7b1d2cef137bba1917d013 Description-en: Python 3 interface to the mapnik library Mapnik is an OpenSource C++ toolkit for developing GIS (Geographic Information Systems) applications. At the core is a C++ shared library providing algorithms/patterns for spatial data access and visualization. . Essentially a collection of geographic objects (map, layer, datasource, feature, geometry), the library doesn't rely on "windowing systems" and is intended to work in multi-threaded environments . This package contains the bindings for Python 3. Package: python3-mathgl Description-md5: 46e282d9763a159040077958b6cab027 Description-en: library for scientific graphs (Python module) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package provides the Python v.3 module for mathgl. Package: python3-meep-mpi-default Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1 Description-en: software package for FDTD simulation with Python Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains the Python binding Package: python3-metview Description-md5: d7374649cdfda22352a154130b3c46df Description-en: Python3 support for Metview Metview is the Visualization system from the European Centre for Medium Range Forecasts. It is scriptable, allowing auotmated generation of weather and climate graphics. . This package provides Python3 support for the Metview package Package: python3-minieigen Description-md5: 875bfc6ff6d51a184a596fea72ae298a Description-en: Wrapper of parts of the Eigen library (Python 3) Small wrapper for core parts of Eigen, c++ library for linear algebra. It is mainly useful for inspecting c++ code which already uses eigen and boost::python. Supported types are Vectors (2,3,6 and dynamic-sized with integer and floating-point values), Matrices (3x3, 6x6 and dynamic-sized with floating-point values) and Quaternions. Numerous methods are wrapped and the original API of Eigen is followed. . This is the Python 3 version of the package. Package: python3-mongomock Description-md5: 205366c61bf7f0435bfd0455ca0cffc6 Description-en: Fake pymongo stub for testing simple MongoDB-dependent code (Python 3) Mongomock is a small library to help testing Python code that interacts with MongoDB via Pymongo. . The code can be tested in several ways: . 1. It can be tested against a real mongodb instance with pymongo. 2. It can receive a record-replay style mock as an argument. In this manner we record the expected calls (find, and then a series of updates), and replay them later. 3. It can receive a carefully hand-crafted mock responding to find() and update() appropriately. . This package installs the library for Python 3. Package: python3-motor Description-md5: 83aacea8dd60bded38d1dd571862bec2 Description-en: full-featured, non-blocking MongoDB driver - Python 3.x Motor presents a callback- or Future-based API for non-blocking access to MongoDB from Tornado or asyncio. . This package contains the Python 3.x module. Package: python3-mp-api Description-md5: 2e488f276512b2f52480f676e6cf7568 Description-en: Materials Project API for Python The Materials Project API defines a standardized manner in which the Materials Project database can be accessed by its users. The API is typically used by scientific researchers who need to retrieve large amounts of data to support their research. . This package installs the library for Python 3. Package: python3-mpi4py Description-md5: df56770de9e33454460005eda8d75b21 Description-en: bindings of the Message Passing Interface (MPI) standard MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). Package: python3-music Description-md5: 7a44573e162c8ad36da4c00c19891357 Description-en: Multi-Simulation Coordinator for MPI -- Runtime library MUSIC allows spike events and continuous time series to be communicated between parallel applications within the same MPI job in a cluster computer. Typical usage cases are connecting models developed for different simulators and connecting a parallel simulator to a post-processing tool. . This package contains the MUSIC library python bindings. Package: python3-mypy Description-md5: d098e93d00ac49e756bebaf5ec34a6ca Description-en: public modules for mypy (Python 3) Add type annotations to your Python programs, and use mypy to type check them. Mypy is essentially a Python linter on steroids, and it can catch many programming errors by analyzing your program, without actually having to run it. Mypy has a powerful type system with features such as type inference, gradual typing, generics and union types. . This package provides the modules for Python 3. Package: python3-napari Description-md5: 15b2401a7872de383c014d992bb1bc8b Description-en: n-dimensional array viewer in Python Fast, interactive, multi-dimensional image viewer for Python. It's designed for browsing, annotating, and analyzing large multi-dimensional images. It's built on top of Qt (for the GUI), vispy (for performant GPU-based rendering), and the scientific Python stack (numpy, scipy). Package: python3-netgen Description-md5: a5cd80ea677cf4ad38dfa74aa3ea219e Description-en: Automatic 3d tetrahedral mesh generator Python 3 bindings NETGEN is an automatic 3d tetrahedral mesh generator. It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. The connection to a geometry kernel allows the handling of IGES and STEP files. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. . This package contains Python 3 bindings. Package: python3-nftables Description-md5: 163efcd8a2defdfa0f6887c346e8955d Description-en: nftables/libnftables python3 module The libnftables library provides high level semantics to interact with the nftables framework by the Netfilter project. . nftables replaces the old popular iptables, ip6tables, arptables and ebtables. . Netfilter software and nftables in particular are used in applications such as Internet connection sharing, firewalls, IP accounting, transparent proxying, advanced routing and traffic control. . A Linux kernel >= 3.13 is required. However, >= 4.14 is recommended. . This package contains the libnftables python3 bindings. Package: python3-ngs Description-md5: 339536e9adbe8549aa1efd268c2ee905 Description-en: Next Generation Sequencing language Bindings (Python3 bindings) NGS is a new, domain-specific API for accessing reads, alignments and pileups produced from Next Generation Sequencing. The API itself is independent from any particular back-end implementation, and supports use of multiple back-ends simultaneously. It also provides a library for building new back-end "engines". The engine for accessing SRA data is contained within the sister repository ncbi-vdb. . The API is currently expressed in C++, Java and Python languages. The design makes it possible to maintain a high degree of similarity between the code in one language and code in another - especially between C++ and Java. . Python3 bindings. Package: python3-nopie Description-md5: 31e6008ca39ff837e1229d920f443a6b Description-en: Python interpreter linked without PIE (default python3 version) This package contains the interpreter not built as position independent executable. This interpreter is diverting the python3 executable, and making the interpreter built with PIE available as python3-pie. Package: python3-notebook Description-md5: 5da681a9ca3b32654d12c11e9bca9ffe Description-en: Jupyter interactive notebook (Python 3) The Jupyter Notebook is a web application that allows you to create and share documents that contain live code, equations, visualizations, and explanatory text. The Notebook has support for multiple programming languages, sharing, and interactive widgets. . This package contains the Python 3 library. Package: python3-numba Description-md5: 4171f6228d88332177aa544844f33488 Description-en: native machine code compiler for Python 3 Numba compiles native machine code instructions from Python programs at runtime using the LLVM compiler infrastructure. It could be easily employed by decorating individual computation intensive functions in the Python code. Numba could significantly speed up the performance of computations, and optionally supports compilation to run on GPU processors through Nvidia's CUDA platform. It integrates well with the Python scientific software stack, and especially recognizes Numpy arrays. . This package contains the modules for Python 3. Package: python3-numcodecs Description-md5: efccce064f7c6c71ce9472166c38d382 Description-en: buffer compression and transformation codecs for Python Numcodecs is a Python package providing buffer compression and transformation codecs for use in data storage and communication applications. These include: . - Compression codecs, e.g., Zlib, BZ2, LZMA and Blosc. - Pre-compression filters, e.g., Delta, Quantize, FixedScaleOffset, PackBits, Categorize. - Integrity checks, e.g., CRC32, Adler32. . All codecs implement the same API, allowing codecs to be organized into pipelines in a variety of ways. Package: python3-opm-common Description-md5: 0527a9a9f3d04e95c08b52c8459d7d2d Description-en: Tools for Eclipse reservoir simulation files -- Python wrappers The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . This package contains the Python wrappers for reading, parsing, and writing files in Eclipse format, a format widely used in the reservoir simulation community. Package: python3-opm-simulators Description-md5: 5411eb2e3edbc3284d05fe5e42c61d3b Description-en: Python wrappers for the Open porous media / reservoir simulators The Open Porous Media (OPM) software suite provides libraries and tools for modeling and simulation of porous media processes, especially for simulating CO2 sequestration and improved and enhanced oil recovery. . opm-simulators provides a research (ebos) and a production (flow) fully implicit black-oil simulators, supporting one to three phases and supporting solvent and polymer options. It uses cell centered finite volume schemes with two point flux approximation and automatic differentiation for the discretization and uses state of the art linear and nonlinear solvers. It supports standard and multi segment well models and reading and writing file in Eclipse format, a very common format used in the oil reservoir simulation community. . Package provides Python wrappers for the simulators. Package: python3-pdm Description-md5: 0865b239937ca71a6f2b0db8928b7ae1 Description-en: next generation Python package management tool PDM is meant to be a next generation Python package management tool. If you feel you are going well with Pipenv or Poetry and don't want to introduce another package manager, just stick to it. But if you are missing something that is not present in those tools, you can probably find some goodness in pdm. . Highlights of features include: * Opt-in PEP 582 support, no virtualenv involved at all. * Simple and fast dependency resolver, mainly for large binary distributions. * A PEP 517 build backend. * PEP 621 project metadata. * Flexible and powerful plug-in system. * Versatile user scripts. * Opt-in centralized installation cache like pnpm. Package: python3-petsc4py Description-md5: 4be396cadcd62636fbd56a3a342ddcf6 Description-en: Python 3 bindings for PETSc libraries PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This is a base package that depends on the python3 petsc4py package with either real or complex number support. It provides the path to petsc4py for the system's default PETSc version set in /usr/lib/petsc. Export environment variable PETSC_DIR to use other versions. Package: python3-petsc4py-64-complex Description-md5: 46438fc210192da5d7d02b54d1fb48be Description-en: Python 3 bindings for 64-bit PETSc libraries (complex numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This is a dummy package depending on the petsc4py build for the latest version of PETSc with complex number support and 64-bit indexing. Package: python3-petsc4py-64-complex3.21 Description-md5: 4c2fb406c1c0d746b487bc3557effafa Description-en: Python 3 bindings for 64-bit PETSc 3.21 libraries (complex numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of PETSc 3.21 with complex number support and 64-bit indexing. Package: python3-petsc4py-64-real Description-md5: 2bd7b2c1999e4f8c4b82f937e1886759 Description-en: Python 3 bindings for 64-bit PETSc libraries (real numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This is a dummy package depending on the petsc4py build for the latest version of PETSc with real number support and 64-bit indexing. Package: python3-petsc4py-64-real3.21 Description-md5: afdd1623504a573086bcbfc878a461ce Description-en: Python 3 bindings for 64-bit PETSc 3.21 libraries (real numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of PETSc 3.21 with real number support and 64-bit indexing. Package: python3-petsc4py-complex Description-md5: 4a6a4f27e733a85a688506f9f9eee348 Description-en: Python 3 bindings for PETSc libraries (complex numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This is a dummy package depending on the petsc4py build for the latest version of PETSc with complex number support. Package: python3-petsc4py-complex3.21 Description-md5: 4afa29a42c7e7b1028aa53aaa99345c4 Description-en: Python 3 bindings for PETSc 3.21 libraries (complex numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of PETSc 3.21 with complex number support. Package: python3-petsc4py-real Description-md5: a5e28f79b38b9a9f99e19343bc051b77 Description-en: Python 3 bindings for PETSc libraries (real numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This is a dummy package depending on the petsc4py build for the latest version of PETSc with real number support. Package: python3-petsc4py-real3.21 Description-md5: 097531f74b10c4553375f4a45b4c7cd9 Description-en: Python 3 bindings for PETSc 3.21 libraries (real numbers) PETSc is a suite of data structures and routines for the scalable (parallel) solution of scientific applications modeled by partial differential equations. It employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of PETSc 3.21 with real number support. Package: python3-pgmagick Description-md5: 8d9910fefc4a4028ccd3692d7f561eb3 Description-en: Yet Another Python wrapper for GraphicsMagick pgmagick is a boost.python based Python wrapper for GraphicsMagick. . This package for Python 3. Package: python3-playsound3 Description-md5: e087286fc068b9e006d7b46bf9112b8f Description-en: Python library to play audio files A Python library to play sound files. . . Fork information: . This repository was originally forked from the playsound (https://github.com/TaylorSMarks/playsound) library created by Taylor Marks. The original library is unfortunately not maintained anymore and doesn't accept pull requests. This library playsound3 is a major rewrite of the original, including its own set of tests hosted using GitHub Actions. . Compared to the original playsound3 * drops support for Python 2 * adheres to the latest PEP standards * offers multiple backends with a fallback mechanism if the default backend is not available * is accepting contributions Package: python3-poezio-poopt Description-md5: 3ca950eac20ac40754bd37f2b287127a Description-en: Console-based XMPP client (binary module) Poezio is a free console XMPP client (the protocol on which the Jabber IM network is built). . This package contains a binary extension module used by Poezio. Package: python3-ppmd Description-md5: 3e1d48dcd312307535298c96b9bda2e6 Description-en: PPMd compression/decompression library PPM(Prediction by partial matching) is a compression algorithm which has several variations of implementations. PPMd is the implementation by Dmitry Shkarin. It is used in the RAR and by 7-Zip as one of several possible methods. . ppmd, aka. ppmd-cffi, is a python bindings with PPMd implementation by C language. The C codes are derived from p7zip, portable 7-zip implementation. ppmd-cffi support PPMd ver.H and PPMd ver.I. Package: python3-psycopg Description-md5: dfbf319cedcd850f42759456feb3a31c Description-en: PostgreSQL database adapter for Python 3 Psycopg 3 is a newly designed PostgreSQL database adapter for the Python programming language. . Psycopg 3 is a complete rewrite of Psycopg 2, maintaining the same fundamental libpq wrapper architecture and DB-API interface design, but exposing new features to better work with the newer versions of Python and PostgreSQL. . On the Python side, Psycopg 3 allows the use of asyncio-based concurrency and static typing. Many improvement to the Python interface make the library much simpler and more idiomatic to use, . On the PostgreSQL side, Psycopg 3 makes use of server-side parameters, prepared statements, binary parameters, and great support for COPY operations. . Psycopg 3 presents a familiar interface for everyone who has used Psycopg 2 or any other `DB-API 2.0` database adapter, but allows one to use more modern PostgreSQL and Python features, such as: - Strict Strong Typing - asynchronous support - server-side parameters binding - binary communication - a great integration of the COPY support - direct access to the libpq functionalities Package: python3-psycopg-c Description-md5: cace942f4928ea1843792cadf65e340e Description-en: PostgreSQL database adapter for Python 3 - binary module Psycopg 3 is a newly designed PostgreSQL database adapter for the Python programming language. . Psycopg 3 is a complete rewrite of Psycopg 2, maintaining the same fundamental libpq wrapper architecture and DB-API interface design, but exposing new features to better work with the newer versions of Python and PostgreSQL. . On the Python side, Psycopg 3 allows the use of asyncio-based concurrency and static typing. Many improvement to the Python interface make the library much simpler and more idiomatic to use, . On the PostgreSQL side, Psycopg 3 makes use of server-side parameters, prepared statements, binary parameters, and great support for COPY operations. . Psycopg 3 presents a familiar interface for everyone who has used Psycopg 2 or any other `DB-API 2.0` database adapter, but allows one to use more modern PostgreSQL and Python features, such as: - Strict Strong Typing - asynchronous support - server-side parameters binding - binary communication - a great integration of the COPY support - direct access to the libpq functionalities . This package contains binary module, increasing performance of operations Package: python3-psycopg-pool Description-md5: 9d80d11b74935a87e1986f03c3a54406 Description-en: PostgreSQL database adapter for Python 3: connection pool Psycopg 3 is a newly designed PostgreSQL database adapter for the Python programming language. . Psycopg 3 is a complete rewrite of Psycopg 2, maintaining the same fundamental libpq wrapper architecture and DB-API interface design, but exposing new features to better work with the newer versions of Python and PostgreSQL. . On the Python side, Psycopg 3 allows the use of asyncio-based concurrency and static typing. Many improvement to the Python interface make the library much simpler and more idiomatic to use, . On the PostgreSQL side, Psycopg 3 makes use of server-side parameters, prepared statements, binary parameters, and great support for COPY operations. . Psycopg 3 presents a familiar interface for everyone who has used Psycopg 2 or any other `DB-API 2.0` database adapter, but allows one to use more modern PostgreSQL and Python features, such as: - Strict Strong Typing - asynchronous support - server-side parameters binding - binary communication - a great integration of the COPY support - direct access to the libpq functionalities . This package contains two connection pool implementations Package: python3-py3exiv2 Description-md5: 77f21e8b7b427225a0a30fa044099467 Description-en: Binding to the library exiv2 Is a Python 3 binding to exiv2, the C++ library for manipulation of EXIF, IPTC and XMP image metadata. It is a Python 3 module that allows your scripts to read and write metadata (EXIF, IPTC, XMP, thumbnails) embedded in image files (JPEG, TIFF, …). Package: python3-pygalmesh Description-md5: 020d10d08e79a8e5e1943cd9b11ea372 Description-en: Python 3 frontend to CGAL's 3D mesh generators pygalmesh makes it easy to create high-quality 3D volume and surface meshes. . CGAL offers two different approaches for mesh generation: - Meshes defined implicitly by level sets of functions. - Meshes defined by a set of bounding planes. . pygalmesh provides a front-end to the first approach, which has the following advantages and disadvantages: - All boundary points are guaranteed to be in the level set within any specified residual. This results in smooth curved surfaces. - Sharp intersections of subdomains (e.g., in unions or differences of sets) need to be specified manually (via feature edges, see below), which can be tedious. . On the other hand, the bounding-plane approach (realized by mshr), has the following properties: - Smooth, curved domains are approximated by a set of bounding planes, resulting in more of less visible edges. - Intersections of domains can be computed automatically, so domain unions etc. have sharp edges where they belong. . pygalmesh and mshr are therefore complementary. . pygalmesh also interfaces CGAL's 3D periodic mesh generation. . This package installs the pygalmesh module for Python 3. . It also provides the utility scripts pygalmesh-from-inr and pygalmesh-volume-from-surface for generating volume meshes from INR or surface meshes. Package: python3-pygame Description-md5: 306500d85ba0762cf985a58d17463575 Description-en: SDL bindings for games development (Python 3) A multimedia development kit for Python. Pygame provides modules for you to access the video display, play sounds, track time, read the mouse and joystick, control the CD player, render true type fonts and more. It does this using mainly the cross-platform SDL library, a lightweight wrapper to OS-specific APIs. . This is the Python 3 version of the package. Package: python3-pygit2 Description-md5: aa3cea6e2809fd9c463327ad37ea158b Description-en: Python bindings for libgit2 (Python 3 module) The Pygit2 module provides a set of Python bindings to the libgit2 shared library. libgit2 implements the core of Git. Pygit2 works with Python 2.7, 3.x and pypy. . This package contains the Python 3 module. Package: python3-pymeasure Description-md5: c835bcd6c1f14544abe7673d0cfc8311 Description-en: scientific measurement library for Python PyMeasure makes scientific measurements easy to set up and run. . The package contains a repository of instrument classes and a system for running experiment procedures, which provides graphical interfaces for graphing live data and managing queues of experiments. Both parts of the package are independent, and when combined provide all the necessary requirements for advanced measurements with only limited coding. . This package provides the modules for Python 3. Package: python3-pynndescent Description-md5: 7bae7d98c624322f0c1ad77f603f50cd Description-en: nearest neighbor descent for approximate nearest neighbors PyNNDescent is a Python nearest neighbor descent for approximate nearest neighbors. It provides a Python implementation of Nearest Neighbor Descent for k-neighbor-graph construction and approximate nearest neighbor search, as per the paper: . Dong, Wei, Charikar Moses, and Kai Li. "Efficient k-nearest neighbor graph construction for generic similarity measures." Proceedings of the 20th international conference on World wide web. ACM, 2011. . This library supplements that approach with the use of random projection trees for initialisation. This can be particularly useful for the metrics that are amenable to such approaches (euclidean, minkowski, angular, cosine, etc.). Graph diversification is also performed, pruning the longest edges of any triangles in the graph. . Currently this library targets relatively high accuracy (80%-100% accuracy rate) approximate nearest neighbor searches. Package: python3-pynpoint Description-md5: 0192b271bdb8c291e1df6cd310b7f866 Description-en: Pipeline for processing and analysis of high-contrast imaging data This is a generic, end-to-end pipeline for the data reduction and analysis of high-contrast imaging data of planetary and substellar companions, as well as circumstellar disks in scattered light. . The pipeline has a modular architecture with a central data storage in which all results are stored by the processing modules. These modules have specific tasks such as the subtraction of the thermal background emission, frame selection, centering, PSF subtraction, and photometric and astrometric measurements. The tags from the central data storage can be written to FITS, HDF5, and text files with the available I/O modules. Package: python3-pyreadstat Description-md5: 5518d4066f0be0d77c52a782e6cc063e Description-en: read/write data sets from SAS, Stata, and SPSS from/to Python pandas.DataFrame A Python package to read and write popular stats packages files (like SAS (sas7bdat, sas7bcat, xport/xpt), SPSS (sav, zsav, por) and Stata (dta)) from and to Python pandas.DataFrame data structures. This module is a wrapper around the Readstat C library by Evan Miller. Package: python3-pytest-asyncio Description-md5: 742eddc371ba1e81bc235a1523e7720f Description-en: pytest support for asyncio. pytest-asyncio is a ibrary for testing asyncio code with pytest. . asyncio code is usually written in the form of coroutines, which makes it slightly more difficult to test using normal testing tools. pytest-asyncio provides useful fixtures and markers to make testing easier. Package: python3-pyzoltan Description-md5: 87316562e4f199fb8ede7521ad6eca1b Description-en: Wrapper for the Zoltan data management library PyZoltan is as the name suggests, is a Python wrapper for the Zoltan data management library. . In PyZoltan, only specific routines and objects are wrapped. The following features of Zoltan are currently supported: . * Dynamic load balancing using geometric algorithms * Unstructured point-to-point communication * Distributed data directories Package: python3-qgis Description-md5: eac6b5d8a42af672ca4dbe96022e9e8e Description-en: Python bindings to QGIS QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the files for the Python support. Package: python3-qgis-common Description-md5: a54a30e2613e54929a2e0d7945b48427 Description-en: Python bindings to QGIS - architecture-independent files QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains architecture-independent files for the QGIS Python bindings. Package: python3-qtconsole Description-md5: 34d52e3162ef39c9a573e85f6fdae535 Description-en: Jupyter - Qt console (Python 3) IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the qtconsole library for the Python 3 interpreter. Package: python3-rdkit Description-md5: a547d3804fe7cf12ca309130bea8f375 Description-en: Collection of cheminformatics and machine-learning software RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. Package: python3-readtime Description-md5: 26f60d4fec7bec8cc4980620920f9655 Description-en: Calculates reading time for text based on Medium's read time formula This library determines the time it takes for an average reader to finish a given piece of text. Using Medium's read time formula, it calculates the reading duration by considering factors such as word count and the number of images present. The default speed is set to 265 words per minute for English text, with adjustments made for texts in other languages like Chinese, Japanese, and Korean, which are measured by character count. Image counts also play a role, affecting the overall time calculation to reflect a more accurate reading experience. Ideal for assessing text-based content, this tool accounts for word and image density to estimate how long readers might need to engage with material. Package: python3-resampy Description-md5: c03577f1ef5f5b4e65fc83c09727a2eb Description-en: Efficient signal resampling Implements band-limited sinc interpolation method for sampling rate conversion. Package: python3-resolvelib Description-md5: 4f7b44cb52b8e69639d4e95c941d4b52 Description-en: module to resolve abstract dependencies into concrete ones python3-resolvelib provides a `Resolver` class that includes dependency resolution logic. You give it some things, and a little information on how it should interact with them, and it will spit out a resolution result. . This is the Python 3 version of the package. Package: python3-returns Description-md5: 64a30bae74e8bda3f7b12ba993a47b62 Description-en: Make your functions return something meaningful, typed, and safe Basically, the answer is that returns provides useful abstractions that solve some problems every developer has: . * ``Maybe`` helps to work with None in a type-safe way * ``Result`` helps to work with exceptions in a type-safe way * ``IO`` helps to separate pure code from impure code to make your architecture better * ``Future`` helps to write await free code * ``RequiresContext`` helps to inject dependencies in a very readable, explicit, type-safe, and clean way * ``Pipelines`` can be used independently or together with the types above to create complex, declarative, and type-safe data pipelines . On top of that ``returns`` provides useful interfaces that allows you to switch implementation on the fly. For example, you can write code that works the same way for sync and async execution flows. While being fully type-safe at the same time. . And you can write your own primitives that will solve any other problem you can possible have based on ``returns`` existing or your custom interfaces. Package: python3-ring-doorbell Description-md5: 4aaee1cae7938b9dc892939d4ac3d410 Description-en: Expose Ring.com devices as Python objects Connect to Ring.com devices and expose them as Python objects. Can list the devices, show events, download videos, display videos, control lights, etc. . This package installs the library for Python 3 and the ring-doorbell command. Package: python3-scapy Description-md5: 4a021f772e9f922a353eda698a37b344 Description-en: Packet generator/sniffer and network scanner/discovery (Python 3) Scapy is a powerful interactive packet manipulation tool, packet generator, network scanner, network discovery, packet sniffer, etc. It can for the moment replace hping, 85% of nmap, arpspoof, arp-sk, arping, tcpdump, tethereal, p0f, .... . In scapy you define a set of packets, then it sends them, receives answers, matches requests with answers and returns a list of packet couples (request, answer) and a list of unmatched packets. This has the big advantage over tools like nmap or hping that an answer is not reduced to (open/closed/filtered), but is the whole packet. . This package contains the Python 3 version of the library and scapy executable. Package: python3-selenium Description-md5: fbc0c6e2de6cd746116e1a6db618237e Description-en: Python3 bindings for Selenium Python3 language bindings for Selenium WebDriver. . The `selenium` package is used automate web browser interaction from Python. Several browsers/drivers are supported (Chrome, Chromium, Edge, Firefox, Internet Explorer and Safari), as well as the Remote protocol. . The webdriver parts itself are not included in this package. Package: python3-sepolgen Description-md5: 03778db4097db3afbc6b04fa1214dd9a Description-en: Python3 module used in SELinux policy generation This package contains a Python3 module that forms the core of the modern audit2allow (which is a part of the package policycoreutils). . The sepolgen library is structured to give flexibility to the application using it. The library contains: Reference Policy Representation, which are Objects for representing policies and the reference policy interfaces. Secondly, it has objects and algorithms for representing access and sets of access in an abstract way and searching that access. It also has a parser for reference policy "headers". It contains infrastructure for parsing SELinux related messages as produced by the audit system. It has facilities for generating policy based on required access. Package: python3-sepolicy Description-md5: 5b6eaa5991c31b18921b2241cd0460e6 Description-en: Python binding for SELinux Policy Analyses This package contains a Python binding for SELinux Policy Analyses. Package: python3-setuptools-whl Description-md5: 398006ad36d8a53e427dd26e4307b523 Description-en: Python Distutils Enhancements (wheel package) Extensions to the python-distutils for large or complex distributions. . This is the support package for the PEP 427 wheel version of the package, required for using setuptools inside a virtual environment. Package: python3-sh Description-md5: ae17eb01eff29a4e20514ea6b5cc27b0 Description-en: Python 3 subprocess interface sh (previously pbs) is a full-fledged subprocess replacement that allows you to call any program as if it were a function: . from sh import ifconfig print ifconfig("eth0") . sh is not a collection of system commands implemented in Python. Package: python3-silo Description-md5: 3cbb71f13c91233bd66d8bbe62494262 Description-en: Python3 interface to the SILO Scientific I/O library This is a Python3 interface to SILO, a scientific I/O library. . Silo supports gridless (point) meshes, structured meshes, unstructured-zoo and unstructured-arbitrary-polyhedral meshes, block structured AMR meshes, constructive solid geometry (CSG) meshes, piecewise-constant (e.g. zone-centered) and piecewise-linear (e.g. node-centered) variables defined on the node, edge, face or volume elements of meshes as well as the decomposition of meshes into arbitrary subset hierarchies including materials and mixing materials. In addition, Silo supports a wide variety of other useful objects to address various scientific computing application needs. Although the Silo library is a serial library, it has some key features which enable it to be applied quite effectively and scalable in parallel. Package: python3-slepc4py Description-md5: 8f366f3655ee6c34ba2bbde774dd0dc1 Description-en: Python 3 bindings for SLEPc libraries SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This is a base package that depends on (Recommends) the python3 slepc4py package with either real or complex number support. It provides the path to slepc4py for the system's default SLEPc version set in /usr/lib/slepc. Export environment variable SLEPC_DIR to use other versions. Package: python3-slepc4py-64-complex Description-md5: 58a44066c33cd288fd6772f6d79dd109 Description-en: Python 3 bindings for 64-bit SLEPc libraries (complex numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This is a dummy package that depends on slepc4py for the latest version of SLEPc with complex number support and 64-bit indexing. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the 64-bit complex build. Package: python3-slepc4py-64-complex3.21 Description-md5: 109594b21f4ca9df0e2c5311f07f4050 Description-en: Python 3 bindings for 64-bit SLEPc 3.21 libraries (complex numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of SLEPc 3.21 with complex number support and 64-bit indexing. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the 64-bit complex build. Package: python3-slepc4py-64-real Description-md5: fd35d216aad554be34b41095913284f6 Description-en: Python 3 bindings for SLEPc 64-bit libraries (real numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This is a dummy package that depends on slepc4py for the latest version of SLEPc with real number support and 64-bit indexing. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the 64-bit build. Package: python3-slepc4py-64-real3.21 Description-md5: d32533466ffb2ce02a81a0544e2759ed Description-en: Python 3 bindings for 64-bit SLEPc 3.21 libraries (real numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of SLEPc 3.21 with real number support and 64-bit indexing. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the 64-bit build. Package: python3-slepc4py-complex Description-md5: 7495cf451c8d4792cc758858844b56ee Description-en: Python 3 bindings for SLEPc libraries (complex numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This is a dummy package that depends on slepc4py for the latest version of SLEPc with complex number support. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the complex build. Package: python3-slepc4py-complex3.21 Description-md5: c5c64fc4321a44775e3854e294b6ca40 Description-en: Python 3 bindings for SLEPc 3.21 libraries (complex numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of SLEPc 3.21 with complex number support. . Access by setting environment variable SLEPC_DIR if the system default version of SLEPc is not already set to the complex build. Package: python3-slepc4py-real Description-md5: df766489efc2543c5b944139b6ff3799 Description-en: Python 3 bindings for SLEPc libraries (real numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This is a dummy package that depends on slepc4py for the latest version of SLEPc with real number support. Package: python3-slepc4py-real3.21 Description-md5: 95daf1ead417b416cda85aa28e466db7 Description-en: Python 3 bindings for SLEPc 3.21 libraries (real numbers) SLEPc is the Scalable Library for Eigenvalue Problem Computations. . It is based on PETSc and employs the MPI standard for all message-passing communication. . This package provides Python 3 bindings to almost all functions of SLEPc 3.21 with real number support. Package: python3-soxr Description-md5: 17bc0b538e3a9a2283d5229a5b606a1a Description-en: Convert one-dimensional sampling rate Soxr is a Python library designed to perform resampling of audio files, allowing you to convert between different sample rates efficiently and accurately. With this tool it is possible to obtain fast and very high quality results for any resampling ratio. . This package installs the library for Python 3. Package: python3-sparse Description-md5: 1562b1a9e9bf72cd7e4a98f4c276b81c Description-en: multidimensional sparse arrays for Python This package implements sparse multidimensional arrays on top of NumPy and scipy.sparse. It generalizes the scipy.sparse.coo_matrix layout but extends beyond just rows and columns to an arbitrary number of dimensions. The original motivation is for machine learning algorithms, but it is intended for somewhat general use. . It supports: . - NumPy ufuncs (where zeros are preserved) - Arithmetic with scalars (where zeros are preserved) - Reductions (sum, max) - Reshape - Transpose - Tensordot - Slicing with integers, lists, and slices (with no step value) - Concatenation and stacking - Addition with other sparse arrays of the same shape . This package provides the modules for Python 3. Package: python3-tagpy Description-md5: bf6a8660233225c746e108ec179b3214 Description-en: Python 3 module for manipulating tags in music files Binds Python to Scott Wheeler's TagLib. Makes it easy to read ID3 tags from MP3 files, Xiph Comments from Ogg Vorbis and FLAC files, and APE tags from MusePack files. Package: python3-tango Description-md5: 61b09160772b50d23c891ebab80dd8ec Description-en: API for the TANGO control system (Python 3) TANGO is an object oriented distributed control system using CORBA, mainly developed by the Controls Section of the ALBA Synchrotron. PyTango provides bindings for its client- and server-side C++ APIs. With PyTango, you can write TANGO device servers and TANGO applications (scripts, CLIs, GUIs) that access TANGO device servers in pure Python. . This is the Python 3 version of the package. Package: python3-tiktoken Description-md5: fac67a0edbfc4ae71a32e0381ac387c1 Description-en: fast BPE tokeniser for use with OpenAI's models A fast Byte pair encoding tokeniser for use with OpenAI's models. Package: python3-torch Description-md5: ac52669c2876a105ffa7c8a78826b488 Description-en: Tensors and Dynamic neural networks in Python (Python Interface) PyTorch is a Python package that provides two high-level features: . (1) Tensor computation (like NumPy) with strong GPU acceleration (2) Deep neural networks built on a tape-based autograd system . You can reuse your favorite Python packages such as NumPy, SciPy and Cython to extend PyTorch when needed. . This is the CPU-only version of PyTorch (Python interface). Package: python3-torch-cluster Description-md5: 85bf5a6c971b485f3b1992c094472abc Description-en: PyTorch extension library of optimized graph cluster algorithms (Python 3) This package consists of a small extension library of highly optimized graph cluster algorithms for the use in PyTorch. The package consists of the following clustering algorithms: . * Graclus from Dhillon et al.: Weighted Graph Cuts without Eigenvectors: A Multilevel Approach * Voxel Grid Pooling from, e.g., Simonovsky and Komodakis: Dynamic Edge-Conditioned Filters in Convolutional Neural Networks on Graphs * Iterative Farthest Point Sampling from, e.g. Qi et al.: PointNet++: Deep Hierarchical Feature Learning on Point Sets in a Metric Space * k-NN and Radius graph generation * Clustering based on nearest points * Random Walk Sampling from, e.g., Grover and Leskovec: node2vec: Scalable Feature Learning for Networks . All included operations work on varying data types and are implemented both for CPU and GPU. . This package installs the library for Python 3. Package: python3-torch-ignite Description-md5: 6db43be76f243871505aeef8f03e9fe1 Description-en: High-level library to help with training and evaluating in PyTorch Ignite is a high-level library to help with training and evaluating neural networks in PyTorch flexibly and transparently. . Features . * Less code than pure PyTorch while ensuring maximum control and simplicity * Library approach and no program's control inversion * Extensible API for metrics, experiment managers, and other components Package: python3-torch-scatter Description-md5: 7d395cc3ab9a93ac4620929f5b17b9a5 Description-en: PyTorch Extension Library of Optimized Scatter Operations This package consists of a small extension library of highly optimized sparse update (scatter and segment) operations for the use in PyTorch, which are missing in the main package. Scatter and segment operations can be roughly described as reduce operations based on a given "group-index" tensor. Segment operations require the "group-index" tensor to be sorted, whereas scatter operations are not subject to these requirements. . This package installs the library for Python 3. Package: python3-torch-sparse Description-md5: 8ecc008a1824c740638d694a7ec7a644 Description-en: PyTorch Extension Library of Optimized Autograd Sparse Matrix Operations This package consists of a small extension library of optimized sparse matrix operations with autograd support. . This package installs the library for Python 3. Package: python3-triton Description-md5: e0f427308b31686b455ed02a948422ff Description-en: language and compiler for custom Deep Learning operations For writing highly efficient custom Deep-Learning primitives. The aim of Triton is to provide an open-source environment to write fast code at higher productivity than CUDA, but also with higher flexibility than other existing domain specific languages. Package: python3-venv Description-md5: b02cfb7e568c4e67c5a6c80458c6cdda Description-en: venv module for python3 (default python3 version) This package contains the venv module for the Python language (default python3 version). . The venv module provides support for creating lightweight "virtual environments" with their own site directories, optionally isolated from system site directories. Each virtual environment has its own Python binary (which matches the version of the binary that was used to create this environment) and can have its own independent set of installed Python packages in its site directories. . This package is a dependency package, which depends on Debian's default Python 3 version's venv module (currently v3.12). Package: python3-vtk9 Description-md5: 607f6e2cea47851cd2f36d2226862577 Description-en: Python bindings for VTK The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides the python3 bindings for VTK. Package: python3-workqueue Description-md5: 4a7c1bb9aedf64c2d06da1eaa3b73ee1 Description-en: cooperative computing tools work queue Python3 bindings CCTools's Work Queue is a system and API for building master-worker style programs that scale up to thousands of processors. This package provides bindings to access this system from Python3. Package: python3-wtforms Description-md5: 26e02bf18d88da1603695025357859a0 Description-en: Flexible forms validation and rendering library for Python 3 WTForms is a flexible forms validation and rendering library for Python web development. It can work with whatever web framework and template engine you choose. It supports data validation, CSRF protection, internationalization (I18N), and more. There are various community libraries that provide closer integration with popular frameworks. . This package contains the module for Python 3. Package: python3-xhtml2pdf Description-md5: 7f687876b2fd090f72527cd4217684f3 Description-en: Converts HTML into PDFs using ReportLab xhtml2pdf is a html2pdf converter using the ReportLab Toolkit, the HTML5lib and pyPdf. It supports HTML 5 and CSS 2.1 (and some of CSS 3). It is completely written in pure Python so it is platform independent. . The main benefit of this tool that a user with Web skills like HTML and CSS is able to generate PDF templates very quickly without learning new technologies. . xhtml2pdf was previously developed as "pisa". . This package installs the library for Python 3. Package: python3-xraylarch Description-md5: 8ee6879519cedff33e43fe02093edede Description-en: X-ray absorption, fluorescence spectroscopy and diffraction data analysis Larch is a library and set of applications for processing and analyzing X-ray absorption and fluorescence spectroscopy data and X-ray fluorescence and diffraction image data from synchrotron beamlines. . Larch is especially focussed on X-ray absorption fine-structure spectroscopy (XAFS) including X-ray absorption near-edge spectroscopy (XANES) and extended X-ray absorption fine-structure spectroscopy (EXAFS). It also supports visualization and analysis tools for X-ray fluorescence (XRF) spectra and XRF and X-ray diffraction (XRD) images as collected at scanning X-ray microprobe beamlines. . This package installs the larch module and scripts for Python 3. . * GSE MapViewer for GSECARS X-ray microprobe data. * Larch CLI and Gtk GUI. * XAS Viewer for XAFS Processing and Analysis. * XRF Display to display and analyze XRF Spectra. . The Larch CLI is available as larch_cli in Debian. Package: python3-xsdata Description-md5: 9aa09ca462ca250cea5659e2a8cc10e5 Description-en: Naive XML Bindings for Python (Python 3) xsData is a complete XML data binding library for Python allowing developers to access and use XML documents as simple objects rather than using DOM. . It ships with a code generator for XML Schema definitions and WSDL 1.1 with SOAP 1.1 bindings. It produces simple dataclasses with type hints that don't depend on the library itself in order to reduce the learning curve for users that have to write the binding models manually. . The included xml parser and serializer are highly optimized and adaptable with handlers based on native Python and lxml. The parser configuration offers the ability to skip unknown properties and to process xinclude statements. . xsData is constantly tested against the W3C XML Schema 1.1 test suite. . This package installs the library for Python 3. Package: python3-yarl Description-md5: c6ae3a2ef96a4bd5e4dceb9c2d630346 Description-en: yet another URL library for Python URL is constructed from `str`: . >>> from yarl import URL >>> url = URL('https://www.python.org/~guido?arg=1#frag') >>> url URL('https://www.python.org/~guido?arg=1#frag') . All URL parts: scheme, user, passsword, host, port, path, query and fragment are accessible by properties: . >>> url.scheme 'https' >>> url.host 'www.python.org' >>> url.path '/~guido' >>> url.query_string 'arg=1' >>> url.query >>> url.fragment 'frag' . All URL manipulations produces a new URL object: . >>> url.parent / 'downloads/source' URL('https://www.python.org/downloads/source') . Strings passed to constructor and modification methods are automatically encoded giving canonical representation as result:: . >>> url = URL('https://www.python.org/путь') >>> url URL('https://www.python.org/%D0%BF%D1%83%D1%82%D1%8C') . Regular properties are percent-decoded, use `raw_` versions for getting encoded strings: . >>> url.path '/путь' . >>> url.raw_path '/%D0%BF%D1%83%D1%82%D1%8C' . Human readable representation of URL is available as `.human_repr()`: . >>> url.human_repr() 'https://www.python.org/путь' Package: python3-zbar Description-md5: 8272ee7fa80948fb8c16580efa1c8410 Description-en: QR code / bar code scanner and decoder (Python bindings) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the Python bindings. Package: qgis Description-md5: 49f76973cc4c2bd4a16872b7a79659ad Description-en: Geographic Information System (GIS) A Geographic Information System (GIS) manages, analyzes, and displays databases of geographic information. QGIS supports shape file viewing and editing, spatial data storage with PostgreSQL/PostGIS, projection on-the-fly, map composition, and a number of other features via a plugin interface. QGIS also supports display of various georeferenced raster and Digital Elevation Model (DEM) formats including GeoTIFF, Arc/Info ASCII Grid, and USGS ASCII DEM. Package: qgis-api-doc Description-md5: ec0d00ace6198b94cf94860b88344000 Description-en: QGIS API documentation QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the QGIS API documentation. Package: qgis-common Description-md5: 45d667349abd44570f6bc5430cce30d7 Description-en: QGIS - architecture-independent data QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains architecture-independent supporting data files for use with QGIS. Package: qgis-plugin-grass Description-md5: 1e0ef88deac60b0e3936bb19ebb9a831 Description-en: GRASS plugin for QGIS QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This plugin enables GRASS data access in QGIS. Package: qgis-plugin-grass-common Description-md5: cdfe56f8128e333678013416b509f31c Description-en: GRASS plugin for QGIS - architecture-independent data QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains architecture-independent supporting data files for use with the QGIS GRASS plugin. Package: qgis-provider-grass Description-md5: 4917261ab8b181a0f852a9735318ce37 Description-en: GRASS provider for QGIS QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This provider enables GRASS data access in QGIS. Package: qgis-providers Description-md5: f26d891ad5deb313e92ac31f2d19d7ad Description-en: collection of data providers to QGIS QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the provider plugins for QGIS. Package: qgis-providers-common Description-md5: f62fa89c8886aedb4438d86455bb523b Description-en: collection of data providers to QGIS - architecture-independent files QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains architecture-independent files for the QGIS providers. Package: qgis-server Description-md5: d67a17a58f0547f422fbdcdbc61a7564 Description-en: QGIS server providing various OGC services QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This metapackage depends on the individual QGIS server components. Package: qgis-server-bin Description-md5: 63b1f36d02c65af0f9aba39567e35153 Description-en: QGIS server providing various OGC services (executables) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the QGIS server executables. Package: qgis-server-common Description-md5: e858acd3bb5e4e05d1ffbe1c40a489fc Description-en: QGIS server providing various OGC services (data) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the QGIS server data. Package: qgis-server-dummy Description-md5: 35d08d8ce7411dac93aa810be7b33b86 Description-en: QGIS server providing various OGC services (dummy) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the sample service. Package: qgis-server-landingpage Description-md5: 104f4d0368b86dc15404dd8c82ca9c0a Description-en: QGIS server providing various OGC services (landing page) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the landing page service. Package: qgis-server-wcs Description-md5: 05842866e82841cdbd656ad55006856c Description-en: QGIS server providing various OGC services (WCS) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the WCS service. Package: qgis-server-wfs Description-md5: 21a5cdc71b889efddc5a896cee27184e Description-en: QGIS server providing various OGC services (WFS) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the WFS service. Package: qgis-server-wfs3 Description-md5: cf032e5be3674d76d29517f4af68fe5a Description-en: QGIS server providing various OGC services (WFS 3) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the WFS 3 service. Package: qgis-server-wms Description-md5: 3e7f41f14ddaae7534c4e6c1c5c161eb Description-en: QGIS server providing various OGC services (WMS) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the WMS service. Package: qgis-server-wmts Description-md5: 8864e0c84bb971b7e331ea521cc54f6e Description-en: QGIS server providing various OGC services (WMTS) QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the WMTS service. Package: qgis-sip Description-md5: e74dea50db66b48dbcbf73de35eca5f6 Description-en: SIP specification files for QGIS QGIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains the SIP specification files. Package: qml6-module-org-kde-kaccounts Description-md5: 2efb52b27065c54491812ca056e109b9 Description-en: QML module for Accounts-SSO and SignOn-SSO KAccounts Integration provides a way to share accounts data such as login tokens and general user information (like usernames and such) between various applications. . The KAccounts library is a KDE Frameworks style abstraction layer on top of the Accounts-SSO and SignOnD libraries, which uses a combination of models and jobs to expose the functionality of those. . The kaccounts QML plugin exposes that functionality directly to Qt Quick based applications. Package: qml6-module-org-kde-kidentitymanagement Description-md5: 8bff3d327e4e11d6a395aa0b6b76dde7 Description-en: library for managing user identities (QML module) This library provides an API for managing user identities with widgets. . This package contains the QML module for kidentitymanagement. . This package is part of the KDE Development Platform PIM libraries module. Package: qml6-module-org-kde-kosmindoormap Description-md5: bdedf01144c1fea6194282915df2f4fb Description-en: OSM multi-floor indoor map renderer QML module Open Street Map indoor map renderer module for QML. . It has floor-level separation of OSM data and inter-floor navigation using stairs, escalators or elevators. Information for details like a selected amenity. Identifying railway platforms or airport gates in the map data. It is integrated with KPublicTransport to show line icons for railway platforms, show availability of rental bikes and get realtime equipment (elevators/escalators/etc) status information. Package: qml6-module-org-kde-kosmindoorrouting Description-md5: 3c88c15c3e895a1b221174b6423f5861 Description-en: OSM multi-floor indoor map navigation QML module Open Street Map indoor map navigation module for QML. . It has floor-level separation of OSM data and inter-floor navigation using stairs, escalators or elevators. Information for details like a selected amenity. Identifying railway platforms or airport gates in the map data. It is integrated with KPublicTransport to show line icons for railway platforms, show availability of rental bikes and get realtime equipment (elevators/escalators/etc) status information. Package: qml6-module-org-kde-kpublictransport Description-md5: e71880dc9c34e123df0c3f92492ed947 Description-en: Library to assist with accessing public transport (qml plugin) A QtQuick plugin providing a public transport API . This package contains the runtime qml module. Package: qml6-module-org-kde-mimetreeparser Description-md5: d86d7292b9a1ba51fc4c78a59ce2b2fc Description-en: QML module for a MIME tree parser This is a parser for a MIME tree and is based on KMime. The goal is given a MIME tree to extract a list of parts (e.g. text, html) and a list of attachments, check the validity of the signatures and decrypt any encrypted part. . This package contains the QML module. Package: qml6-module-org-kde-osm-editorcontroller Description-md5: 9c1cd583e343f4f03b2e4871bb5e5dff Description-en: OSM indoor editor QML module Open Street Map indoor map editor for QML. Package: quantum-espresso Description-md5: b487171011d797e0b2f3c854945317fd Description-en: Electronic-Structure and Ab-Initio Molecular Dynamics Suite Quantum ESPRESSO (formerly known as PWscf) is an integrated suite of computer codes for electronic-structure calculations and materials modeling at the nanoscale. It is based on density-functional theory, plane waves, and pseudopotentials (both norm-conserving, ultrasoft, and PAW). . Features include: * Ground-state single-point and band structure calculations using plane-wave self-consistent total energies, forces and stresses * Separable norm-conserving and ultrasoft (Vanderbilt) pseudo-potentials, PAW (Projector Augmented Waves) * Various exchange-correlation functionals, from LDA to generalized-gradient corrections (PW91, PBE, B88-P86, BLYP) to meta-GGA, exact exchange (HF) and hybrid functionals (PBE0, B3LYP, HSE) * Car-Parrinello and Born-Oppenheimer Molecular Dynamics * Structural Optimization including transition states and minimum energy paths * Spin-orbit coupling and noncollinear magnetism * Response properties including phonon frequencies and eigenvectors, effective charges and dielectric tensors, Infrared and Raman cross-sections, EPR and NMR chemical shifts * Spectroscopic properties like K- and L1-edge X-ray Absorption Spectra (XAS) and electronic excitations Package: quantum-espresso-data Description-md5: 73f4efb549c0a4b101d8fff1d86a8d2c Description-en: Electronic-Structure and Ab-Initio Molecular Dynamics Suite (Documentation) Quantum ESPRESSO is an integrated suite of computer codes for electronic-structure calculations and materials modeling at the nanoscale. It is based on density-functional theory, plane waves, and pseudopotentials (both norm-conserving, ultrasoft, and PAW). . This package contains a limited set of pseudo-potentials and example files. Package: quickchick Description-md5: 3190f708de6832bc13984e3cda4ffbd6 Description-en: randomized testing framework for Coq (tools) QuickChick provides a framework for randomized testing of program properties ; it's a clone of Haskell's QuickCheck. . It includes a foundational verification framework to test code and a mechanism to automatically derive generators for inductive relations. . Coq is a proof assistant for higher-order logic. . This package contains the tools. Package: quickemu Description-md5: c686267613690e09b569ec480aca9bf5 Description-en: quickly create and run optimised virtual machines Quickemu is a wrapper for the excellent QEMU that attempts to automatically "do the right thing", rather than expose exhaustive configuration options. . Quickly create and run optimised Windows, macOS and Linux desktop virtual machines; with just two commands. You decide what operating system you want to run and Quickemu will figure out the best way to do it for you. For example: . quickget ubuntu-mate 22.04 quickemu --vm ubuntu-mate-22.04.conf . The original objective of the project was to enable quick testing of Linux distributions where the virtual machine configurations can be stored anywhere, such as external USB storage or your home directory, and no elevated permissions are required to run the virtual machines. Quickemu now also includes comprehensive support for macOS and Windows. Package: r-bioc-alabaster.base Description-md5: ec28e9c247b8fc7ffc00f54b48c699e3 Description-en: Save Bioconductor Objects To File Save Bioconductor data structures into file artifacts, and load them back into memory. This is a more robust and portable alternative to serialization of such objects into RDS files. Each artifact is associated with metadata for further interpretation; downstream applications can enrich this metadata with context-specific properties. Package: r-bioc-alabaster.matrix Description-md5: af653ab86d9373d150ae44f401edb96d Description-en: Load and Save matrices, arrays, and similar objects from files Save matrices, arrays and similar objects into file artifacts, and load them back into memory. This is a more portable alternative to serialization of such objects into RDS files. Each artifact is associated with metadata for further interpretation; downstream applications can enrich this metadata with context-specific properties. Package: r-cran-bigmemory Description-md5: 69712ba529cb54600ff9cd43a747ecfa Description-en: Manage Massive Matrices with Shared Memory and Memory-Mapped Files Create, store, access, and manipulate massive matrices. Matrices are allocated to shared memory and may use memory-mapped files. Packages 'biganalytics', 'bigtabulate', 'synchronicity', and 'bigalgebra' provide advanced functionality. Package: r-cran-commonmark Description-md5: 0c80609a006f995519b5a6712fd3f6d9 Description-en: high performance CommonMark and Github markdown rendering in R The CommonMark specification defines a rationalized version of markdown syntax. This package uses the 'cmark' reference implementation for converting markdown text into various formats including html, LaTeX and groff man. In addition it exposes the markdown parse tree in xml format. The latest version of this package also adds support for Github extensions including tables, autolinks and strikethrough text. Package: r-cran-curl Description-md5: 9998a755c4c979415e3bf12ee4ad4593 Description-en: GNU R modern and flexible web client for R The curl() and curl_download() functions provide highly configurable drop- in replacements for base url() and download.file() with better performance, support for encryption (https, ftps), gzip compression, authentication, and other libcurl goodies. The core of the package implements a framework for performing fully customized requests where data can be processed either in memory, on disk, or streaming via the callback or connection interfaces. Some knowledge of libcurl is recommended; for a more-user-friendly web client see the 'httr' package which builds on this package with http specific tools and logic. Package: r-cran-filehash Description-md5: 349b9b21f05eba9709afb2efda7782b7 Description-en: GNU R simple key-value database This GNU R package implements a simple key-value style database where character string keys are associated with data values that are stored on the disk. A simple interface is provided for inserting, retrieving, and deleting data from the database. Utilities are provided that allow 'filehash' databases to be treated much like environments and lists are already used in R. These utilities are provided to encourage interactive and exploratory analysis on large datasets. Three different file formats for representing the database are currently available and new formats can easily be incorporated by third parties for use in the 'filehash' framework. Package: r-cran-gbm Description-md5: bb38159ea69aa73da1340c69243cf5cd Description-en: GNU R package providing Generalized Boosted Regression Models This package implements extensions to Freund and Schapire's AdaBoost algorithm and Friedman's gradient boosting machine. Includes regression methods for least squares, absolute loss, t-distribution loss, quantile regression, logistic, multinomial logistic, Poisson, Cox proportional hazards partial likelihood, AdaBoost exponential loss, Huberized hinge loss, and Learning to Rank measures (LambdaMart). Package: r-cran-gert Description-md5: 11b1be3700e15cca25e9e7652b5be00e Description-en: simple git client for GNU R Simple git client for R based on 'libgit2' with support for SSH and HTTPS remotes. All functions in 'gert' use basic R data types (such as vectors and data-frames) for their arguments and return values. User credentials are shared with command line 'git' through the git-credential store and ssh keys stored on disk or ssh-agent. Package: r-cran-ggstats Description-md5: 9cb0e8f4817df309a1159790bae78d81 Description-en: GNU R extension to 'ggplot2' for plotting stats Provides new statistics, new geometries and new positions for 'ggplot2' and a suite of functions to facilitate the creation of statistical plots. Package: r-cran-git2r Description-md5: 2ad51e7a679949256e9d8b7ec8c83bb7 Description-en: GNU R access to Git repositories This GNU R package provides an interface to the libgit2 library, which is a pure C implementation of the Git core methods. Provides access to Git repositories to extract data and running some basic Git commands. Package: r-cran-httr2 Description-md5: 2ab448608438a84bfae5bbfbfab04ab8 Description-en: perform HTTP requests and process the responses in GNU R Tools for creating and modifying HTTP requests, then performing them and processing the results. 'httr2' is a modern re-imagining of 'httr' that uses a pipe-based interface and solves more of the problems that API wrapping packages face. Package: r-cran-magick Description-md5: 1b1131b3f9500e4fc8fd4c3c0eb29ab6 Description-en: advanced graphics and image-processing in GNU R Bindings to 'ImageMagick': the most comprehensive open-source image processing library available. Supports many common formats (png, jpeg, tiff, pdf, etc) and manipulations (rotate, scale, crop, trim, flip, blur, etc). All operations are vectorized via the Magick++ STL meaning they operate either on a single frame or a series of frames for working with layers, collages, or animation. In RStudio images are automatically previewed when printed to the console, resulting in an interactive editing environment. The latest version of the package includes a native graphics device for creating in-memory graphics or drawing onto images using pixel coordinates. Package: r-cran-rmpi Description-md5: a306e56885dbe14491fdbc63f1267460 Description-en: GNU R package interfacing MPI libraries for distributed computing This CRAN package provides an interface to the MPI (Message-Passing Interface) API. It also provides an interactive R slave environment in which distributed statistical computing can be carried out. Package: r-cran-seurat Description-md5: e659572039d49e2404d6bbc88edb145d Description-en: Tools for Single Cell Genomics A toolkit for quality control, analysis, and exploration of single cell RNA sequencing data. 'Seurat' aims to enable users to identify and interpret sources of heterogeneity from single cell transcriptomic measurements, and to integrate diverse types of single cell data. See Satija R, Farrell J, Gennert D, et al (2015) , Macosko E, Basu A, Satija R, et al (2015) , and Butler A and Satija R (2017) for more details. Package: r-cran-sf Description-md5: 9ab2056a03176ae485da026b01b86beb Description-en: Simple Features for R Support for simple features, a standardized way to encode spatial vector data. Binds to 'GDAL' for reading and writing data, to 'GEOS' for geometrical operations, and to 'PROJ' for projection conversions and datum transformations. Package: r-cran-spacetime Description-md5: 9b0956cf8bfa20f9d6a98361ef8ac4e0 Description-en: GNU R classes and methods for spatio-temporal data Classes and methods for spatio-temporal data, including space-time regular lattices, sparse lattices, irregular data, and trajectories; utility functions for plotting data as map sequences (lattice or animation) or multiple time series; methods for spatial and temporal selection and subsetting, as well as for spatial/temporal/spatio- temporal matching or aggregation, retrieving coordinates, print, summary, etc. Package: rails Description-md5: 584dbe2af2cbe2732009dcf02baf0aba Description-en: MVC ruby based framework geared for web application development (metapackage) Rails is a full-stack, open-source web framework in Ruby for writing real-world applications. . Being a full-stack framework means that all layers are built to work seamlessly together. That way you don't repeat yourself and you can use a single language from top to bottom. Everything from templates to control flow to business logic is written in Ruby. . This is a metapackage. Package: raku-json-name Description-md5: 6ff07a04240e05774b4ea9ab2cafce57 Description-en: Provides a trait to store an alternative JSON Name This Raku module is a dependency of JSON::Marshal and JSON::Unmarshal in order to save duplication, it is intended to store a separate JSON name for an attribute where the name of the JSON attribute might be changed, either for aesthetic reasons or the name is not a valid Raku identifier. It will of course also be needed in classes that are going to use JSON::Marshal or JSON::Unmarshal for serialisation/de-serialisation. . Of course it could be used in other modules for a similar purpose. Package: raku-json-optin Description-md5: 317e8a4fa5916d809bc528cd930af5dd Description-en: Raku trait and role to identify opt-in JSON serialization This Raku package provides a trait and a role for the identification of 'opt in' serialisiable attributes in, for example, JSON::Marshal. . According to upstream, it's probably not necessary to use this directly as it will be required by e.g. JSON::Name but it's just more convenient to package it separately. Package: raku-uri Description-md5: c412e9bb8e3de240410af1f3ff784de6 Description-en: URI handler for Raku A URI implementation using Raku grammars to implement RFC 3986 BNF. Currently only implements parsing. Package: rakudo Description-md5: 2661cbe6714dbf391822669a08c87c3a Description-en: Raku implementation on top of MoarVM Rakudo is a compiler that implements the Raku (formerly Perl 6) specification and runs on top of several virtual machines. Debian rakudo package runs on top of MoarVM. . Provides commands starting with "raku", "rakudo", and "perl6". . valgrind package installation is suggested to debug issues with rakudo-valgrind-m program. Package: rdkit-data Description-md5: 650c372561c1f5f21f366a51814eaa65 Description-en: Collection of cheminformatics and machine-learning software (data files) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. . This package contains data files. Package: rdkit-doc Description-md5: f66c7299bc3ae5f8a56ac2defc65028c Description-en: Collection of cheminformatics and machine-learning software (documentation) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. . This package contains the documentation. Package: relion Description-md5: a54d8123a50edf0f9102f8a3c05de97f Description-en: toolkit for 3D reconstructions in cryo-electron microscopy RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone computer program for Maximum A Posteriori refinement of (multiple) 3D reconstructions or 2D class averages in cryo-electron microscopy. . RELION provides a GUI, several command-line tools in parallel (MPI) and serial versions, optionally with CUDA/GPU support. . relion provides the serial and parallel (MPI) command-line tools without CUDA/GPU support. Package: relion-gui Description-md5: 17b4d8288a7b95c56b60109e77e4b7c3 Description-en: toolkit for 3D reconstructions in cryo-electron microscopy (gui apps) RELION (for REgularised LIkelihood OptimisatioN) is a stand-alone computer program for Maximum A Posteriori refinement of (multiple) 3D reconstructions or 2D class averages in cryo-electron microscopy. . RELION provides a GUI, several command-line tools in parallel (MPI) and serial versions, optionally with CUDA/GPU support. . relion-gui provides the graphical user interface without CUDA/GPU support. Package: rhino Description-md5: c0634d81edf27589098e6ab9ae84198f Description-en: JavaScript engine written in Java Rhino is an implementation of the JavaScript language written entirely in Java. It is typically embedded into Java applications to provide scripting to end users. Package: ripasso-cursive Description-md5: 71ee1de24e48a4d3175ad5752c12f206 Description-en: Curses based password manager A password manager that uses the file format of the standard unix password manager 'pass', this is the curses frontend application Package: rocminfo Description-md5: 1f5df0ccf58846dc9fb3b77e755c719f Description-en: ROCm Application for Reporting System Info rocminfo gives information about the HSA system attributes and agents. This package is part of the AMD ROCm software stack. Package: ronn Description-md5: 2c253bec114012b82b2e53e39aeb42af Description-en: tool to build manuals from Markdown Ronn builds manuals. It converts simple, human readable textfiles to roff for terminal display, and also to HTML for the web. . The source format includes all of Markdown but has a more rigid structure and syntax extensions for features commonly found in manpages (definition lists, link notation, etc.). The ronn-format(7) manual page defines the format in detail. . This package contains the ronn conversion tool. Package: routine-update Description-md5: b278878e0ac53abad116c91b61e09af8 Description-en: routine updater for a Debian source package Will pull new upstream version first and then will perform common cleanups. . It contains several steps all with the intention to . * reduce lintian warnings * keep all packages in a "modern" packaging shape Package: ruby-actioncable Description-md5: 578d00b476c023f9a55cc8883df939cd Description-en: WebSocket framework for Rails (part of Rails) Action Cable seamlessly integrates WebSockets with the rest of your Rails application. It allows for real-time features to be written in Ruby in the same style and form as the rest of your Rails application, while still being performant and scalable. It's a full-stack offering that provides both a client-side JavaScript framework and a server-side Ruby framework. You have access to your full domain model written with Active Record or your ORM of choice. Package: ruby-actionmailbox Description-md5: 5b65957091aa2aa27b964c607441befd Description-en: receive and process incoming emails (part of Rails) Action Mailbox routes incoming emails to controller-like mailboxes for processing in Rails. It ships with ingresses for Mailgun, Mandrill, Postmark, and SendGrid. It provides the way for handling inbound mails directly via the built-in Exim, Postfix, and Qmail ingresses. Package: ruby-actionmailer Description-md5: 7ad2c478a4ca26f1f7d3cea1a494aaa7 Description-en: email composition, delivery framework (part of Rails) Action Mailer is a framework for working with email on Rails. Compose, deliver, and test emails using the familiar controller/view pattern. First-class support for multipart email and attachments. Package: ruby-actionpack Description-md5: 0ffc66c8a0daccc94cccb64a6cf97110 Description-en: web-flow and rendering framework putting the VC in MVC (part of Rails) Action Pack is a framework for web apps on Rails. Simple, battle-tested conventions for building and testing MVC web applications. Works with any Rack-compatible server. Package: ruby-actiontext Description-md5: 40cbf5b1c3f62bdd0c8d73819ae7c710 Description-en: edit and display rich text (part of Rails) Action Text brings rich text content and editing to Rails. It includes the Trix editor that handles everything from formatting to links to quotes to lists to embedded images and galleries. Package: ruby-actionview Description-md5: 66e9d06bb8fc0da7b4c452dfeb705b0b Description-en: framework for handling view template lookup and rendering (part of Rails) Action View is a framework for handling view template lookup and rendering, and provides view helpers that assist when building HTML forms, Atom feeds and more. Template formats that Action View handles are ERB (embedded Ruby, typically used to inline short Ruby snippets inside HTML), and XML Builder. Package: ruby-activejob Description-md5: ee69ae9d9b610fffba222413dcd6fefa Description-en: job framework with pluggable queues (part of Rails) Active Job is a framework for declaring jobs and making them run on a variety of queueing backends. These jobs can be everything from regularly scheduled clean-ups, to billing charges, to mailings. Anything that can be chopped up into small units of work and run in parallel. Package: ruby-activemodel Description-md5: 6a75304ee641d2e1b92a4ea1419c000b Description-en: toolkit for building modeling frameworks (part of Rails) Active Model is a toolkit for building modeling frameworks like Active Record and Active Resource. This includes a rich support for attributes, callbacks, validations, observers, serialization, internationalization, and testing. Package: ruby-activerecord Description-md5: 2a656dbeb04f01d1a619f70eccfebc7c Description-en: object-relational mapper framework (part of Rails) Active Records is a framework to work with databases on Rails. Build a persistent domain model by mapping database tables to Ruby classes. Strong conventions for associations, validations, aggregations, migrations, and testing come baked-in. Package: ruby-activestorage Description-md5: 6b07939bfed743bfcb7a0b217141b643 Description-en: local and cloud file storage framework (part of Rails) Active Storage makes it simple to upload and reference files in cloud services like Amazon S3 and Microsoft Azure Storage, and attach those files to Active Records. Supports having one main service and mirrors in other services for redundancy. It also provides a disk service for testing or local deployments, but the focus is on cloud storage. . Files can be uploaded from the server to the cloud or directly from the client to the cloud. . Image files can furthermore be transformed using on-demand variants for quality, aspect ratio, size, or any other MiniMagick supported transformation. Package: ruby-activesupport Description-md5: 6cff4f7a1fa46db59a64f4136d68066b Description-en: collection of utility classes used by the Rails framework Active Support is a collection of utility classes and standard library extensions that were found useful for the Rails framework. . The classes reside in this package so they can be loaded as needed in Ruby projects outside of Rails. Package: ruby-colored2 Description-md5: 6df287e34e5659e4b0ed25ac92058e94 Description-en: library to add colors or attributes to text This library extends the String class with methods to add ANSI colors and bold, underline or reverse attributes to strings. It can be used to emphasize messages on the console output or in logs. Package: ruby-fast-gettext Description-md5: eaf8a27d28de403a9afb9e52f48711b3 Description-en: Fast GetText implementation for Ruby FastGettext provides fast, memory-efficient, and thread-safe internationalization API similar to GNU GetText. In addition to performance improvements over original Ruby GetText, its capabilities include support for multiple backends and advanced extensibility. Translations can be loaded from po, mo, yaml files or, if ActiveRecord is available, from databases. Package: ruby-fuubar Description-md5: 9c8dc496c1ef612e552380e51b34da3e Description-en: instafailing RSpec progress bar formatter It is an instafailing RSpec formatter that uses a progress bar instead of a string of letters and dots as feedback. . A video of Fuubar in action http://vimeo.com/16845253 Package: ruby-narray Description-md5: a953084abc85d13464529d99decab553 Description-en: Numerical N-dimensional Array library for Ruby NArray is an extension library for Ruby that provides fast calculation and easy manipulation of large numerical arrays with the Ruby language. It has numerical n-dimensional array class as well as vector and matrix subclasses, which can contain 1/2/4-byte Integer, single/double-precision Real/Complex and Ruby Object. Package: ruby-octokit Description-md5: 0935ca9194eafaedd25a13741a4ec20e Description-en: Ruby toolkit for working with the GitHub API ruby-octokit wraps the GitHub API in a flat API client that follows Ruby conventions and requires little knowledge of REST. . It provides all expected features needed to interact with Github or any other implementation of their API: . - Authenticated (basic and oauth) and unauthenticated requests. - Access to all resources and fields. - Direct access to HTTP response headers if needed. - Pagination. - Hypermedia features (ruby-octokit is built on top of ruby-sawyer). Package: ruby-rack-session Description-md5: b261965f1b4397b64b65da01265633fd Description-en: Session management implementation for Rack A session implementation for Rack. Package: ruby-rackup Description-md5: 72a438cc22a13c6d9f376f8c61982426 Description-en: General server command for Rack applications rackup provides a command line interface for running a Rack-compatible application. Package: ruby-rails Description-md5: bb28dc2452d17b899bf418f70fe748a1 Description-en: MVC ruby based framework geared for web application development Rails is a full-stack, open-source web framework in Ruby for writing real-world applications. . Being a full-stack framework means that all layers are built to work seamlessly together. That way you don't repeat yourself and you can use a single language from top to bottom. Everything from templates to control flow to business logic is written in Ruby. Package: ruby-railties Description-md5: 01d43872be0201ffeb74c2650abcbc28 Description-en: tools for creating, working with, and running Rails applications This package contains the Rails internals, i.e. components that implement and/or control application bootup, plugins, generators, and rake tasks. Package: ruby-redis Description-md5: 6e9afd2d70cc33304098e1d72b9d16d2 Description-en: Ruby client library for Redis A Ruby client that tries to match Redis' API one-to-one, while still providing an idiomatic interface. It features thread-safety, client-side sharding, pipelining, and an obsession for performance. Package: ruby-redis-clustering Description-md5: 0b19763c2f6b1abf2b8944c2dd1f493f Description-en: Ruby client library for Redis Cluster A Ruby client that tries to match Redis' Cluster API one-to-one, while still providing an idiomatic interface. Package: ruby-rmagick Description-md5: 393bf835e37efeb55b6c8c6360451be5 Description-en: ImageMagick API for Ruby RMagick is an interface between the Ruby programming language and the ImageMagick image processing library. Package: ruby-rmagick-doc Description-md5: 0ce2581c0fbd70d22e3556e5bfc3d594 Description-en: ImageMagick API for Ruby (documentation) RMagick is an interface between the Ruby programming language and the ImageMagick image processing library. . This package contains the documentation and some example scripts. Package: ruby-ronn Description-md5: ceb3abe56d316c146db7ed6fcc4090dd Description-en: library to build manuals from Markdown Ronn builds manuals. It converts simple, human readable textfiles to roff for terminal display, and also to HTML for the web. . The source format includes all of Markdown but has a more rigid structure and syntax extensions for features commonly found in manpages (definition lists, link notation, etc.). The ronn-format(7) manual page defines the format in detail. . This package contains the ronn ruby library. Package: ruby-rugged Description-md5: b079ea37f68e2b178b4822265139a0f4 Description-en: Ruby binding to the libgit2 library libgit2 is a portable, pure C implementation of the Git distributed version control system core methods provided as a re-entrant linkable library with a solid API. . Rugged is a library for accessing libgit2 in Ruby. It allows one to explore and modify Git repositories with the speed and portability of libgit2, and the convenience of the Ruby programmation language. Package: ruby3.1 Description-md5: 600c74e45bc6685da6003707bbeb9b6c Description-en: Interpreter of object-oriented scripting language Ruby Ruby is the interpreted scripting language for quick and easy object-oriented programming. It has many features to process text files and to do system management tasks (as in perl). It is simple, straight-forward, and extensible. . In the name of this package, `3.1' indicates the Ruby library compatibility version. This package currently provides the `3.1.x' branch of Ruby. Package: ruby3.1-dev Description-md5: 452bbaa9ba76ea9125fcddcb7ec6a417 Description-en: Header files for compiling extension modules for the Ruby 3.1 Ruby is the interpreted scripting language for quick and easy object-oriented programming. It has many features to process text files and to do system management tasks (as in perl). It is simple, straight-forward, and extensible. . This package contains the header files and the mkmf library, necessary to make extension library for Ruby 3.1. It is also required to build many gems. Package: ruby3.1-doc Description-md5: fc7ce4083bf5d428bd0e6e337f9d289a Description-en: Documentation for Ruby 3.1 Ruby is the interpreted scripting language for quick and easy object-oriented programming. It has many features to process text files and to do system management tasks (as in perl). It is simple, straight-forward, and extensible. . This package contains the autogenerated documentation for Ruby 3.1. Package: samhain Description-md5: 65363c52058ed227a4243369ac75989d Description-en: Data integrity and host intrusion alert system Samhain is an integrity checker and host intrusion detection system that can be used on single hosts as well as large, UNIX-based networks. It supports central monitoring as well as powerful (and new) stealth features to run undetected on memory using steganography. . Main features * Complete integrity check + uses cryptographic checksums of files to detect modifications, + can find rogue SUID executables anywhere on disk, and * Centralized monitoring + native support for logging to a central server via encrypted and authenticated connections * Tamper resistance + database and configuration files can be signed + logfile entries and e-mail reports are signed + support for stealth operation Package: sbcl Description-md5: eb1113dee9d2ad5949c6cfc6301108ec Description-en: Common Lisp compiler and development system SBCL is a development environment for the ANSI Common Lisp language. It provides a native-code compiler and an integrated debugger, as well as all the features in the ANSI specification. . SBCL also contains other extensions to the ANSI specification, including a foreign-function interface, a pseudo-server API, user-extensible stream functionality, a Meta-Object Protocol, and an ability to run external processes. . To browse SBCL source definitions with development environments, install the sbcl-source package. For documentation on SBCL's usage and internals, the package sbcl-doc is provided. Package: sbcl-doc Description-md5: bf2cec45285d0c3bfa96c64bda87fbd5 Description-en: Documentation for Steel Bank Common Lisp This package provides documentation for SBCL -- a development environment for the ANSI Common Lisp language. . The documents in this package cover SBCL-specific extensions to the language, explain how to use this Common Lisp implementation and how it differs from the ANSI standard. . The manual about SBCL's internals is also included in this package and may be of interest for people who intent to work with SBCL's source code. Package: sbcl-source Description-md5: ee91a0cda511e6d9ca51542b0320b384 Description-en: Source code files for SBCL This package provides the source code for SBCL -- a development environment for the ANSI Common Lisp language. . The source code is useful in conjunction with development environments and debuggers like SLIME, that allow macro expansion and lookup of source definitions. . Installing this package enables your source browser to dig deeply into the core of Steel Bank Common Lisp. Package: seatd Description-md5: 87529e8f20191dfe3d8e2d2d24590acb Description-en: minimal user, seat and session management daemon Lightweight standalone implementation. Package: selinux-policy-default Description-md5: 325e1ecabb3986761a584eae753fa7e7 Description-en: Strict and Targeted variants of the SELinux policy This is the reference policy for SE Linux. In the default configuration it will provide the functionality previously known as the "targeted" policy. If the module "unconfined" is removed then it provides the functionality previously known as the "strict" policy. . This uses the MMCS system of categories. Package: selinux-policy-dev Description-md5: f35abfcf906e4208534af358dff216e2 Description-en: Headers from the SELinux reference policy for building modules The SELinux Reference Policy (refpolicy) is a complete SELinux policy, as an alternative to the existing strict and targeted policies available from http://selinux.sf.net. The goal is to have this policy as the system policy, be and used as the basis for creating other policies. Refpolicy is based on the current strict and targeted policies, but aims to accomplish many additional goals: + Strong Modularity + Clearly stated security Goals + Documentation + Development Tool Support + Forward Looking + Configurability + Flexible Base Policy + Application Policy Variations + Multi-Level Security . This package provides header files for building your own SELinux policy packages compatible with official policy packages. Package: selinux-policy-doc Description-md5: 5ace31fba5708e250b88f928fce9e1b1 Description-en: Documentation for the SELinux reference policy The SELinux Reference Policy (refpolicy) is a complete SELinux policy, as an alternative to the existing strict and targeted policies available from http://selinux.sf.net. The goal is to have this policy as the system policy, be and used as the basis for creating other policies. Refpolicy is based on the current strict and targeted policies, but aims to accomplish many additional goals: + Strong Modularity + Clearly stated security Goals + Documentation + Development Tool Support + Forward Looking + Configurability + Flexible Base Policy + Application Policy Variations + Multi-Level Security . This package contains the documentation for the reference policy. Package: selinux-policy-mls Description-md5: b404c7aea7329bd6fd0d9cdedc389175 Description-en: MLS (Multi Level Security) variant of the SELinux policy This is the reference policy for SE Linux built with MLS support. It allows giving data labels such as "Top Secret" and preventing such data from leaking to processes or files with lower classification. . It was developed for Common Criteria LSPP certification for RHEL. It will probably never be well supported in Debian and is only recommended for students who want to learn about the security features used by the military. Package: selinux-policy-src Description-md5: c0c4d6c84939c6ae39a399036273ac07 Description-en: Source of the SELinux reference policy for customization The SELinux Reference Policy (refpolicy) is a complete SELinux policy, as an alternative to the existing strict and targeted policies available from http://selinux.sf.net. The goal is to have this policy as the system policy, be and used as the basis for creating other policies. Refpolicy is based on the current strict and targeted policies, but aims to accomplish many additional goals: + Strong Modularity + Clearly stated security Goals + Documentation + Development Tool Support + Forward Looking + Configurability + Flexible Base Policy + Application Policy Variations + Multi-Level Security . This is the source of the policy, provided so that local variations of SELinux policy may be created. Package: shotcut Description-md5: 7e81fdac9e6aa16c46d802ed474d5125 Description-en: video editor This is a video editor with features like 4k resolution support, network stream playback, audio/webcam captures, and many more. Package: shotcut-data Description-md5: 1c6e3f65820f84717d41d9026910cced Description-en: video editor data This is a video editor with features like 4k resolution support, network stream playback, audio/webcam captures, and many more. . These are data files needed for the binary package. Package: siftool Description-md5: bdf52f12e9e1fd6d9db3fcf264b8ef4a Description-en: utility to manipulate Singularity Image Format (SIF) files This package provides "siftool" CLI program to manipulate SIF container files. Package: signon-kwallet-extension Description-md5: 2e37ceaef2945601fc449b1541ea125c Description-en: KWallet extension for signond Enables signon services to store credentials in KWallet. Package: signon-plugin-password Description-md5: e1b803ca12b920ca2293b712716565c6 Description-en: Single Sign On framework - Plain Password plugin Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Password plugin for Single Sign On. Package: signon-plugin-ssotest Description-md5: 3993ed8844ab6bc817591e09526cdee6 Description-en: Single Sign On framework - Test plugin Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Test plugin for Single Sign On. Package: signon-plugins-dev Description-md5: 276b279f83d681ad33c5fe2f721d639b Description-en: Single Sign On framework - plugins development files Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Headers and static libraries for Single Sign On plugin development. Package: signond Description-md5: 15f0caa66c92dfdae553c84241d6df20 Description-en: Single Sign On framework Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Framework to provide credential storage and authentication service. Package: signond-dev Description-md5: 52eebd36f40671d107b8b95722c66d5f Description-en: Single Sign On framework - development files Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . Common headers and DBUS interfaces definition files for the Signon Framework. Package: signond-doc Description-md5: 63c79e4e7e1f8c6f69dedf351c3b7f1b Description-en: Single Sign On framework - documentation for daemon Accounts and SSO (Single Sign-On) framework for Linux and POSIX based platforms. . This package contains the documentation for signond-dev. Package: skylighting Description-md5: 95544a5ee801c3b985dfb4d4cc591f3d Description-en: syntax highlighting tool Skylighting is a syntax highlighting library with support for over one hundred languages. It derives its tokenizers from XML syntax definitions used by KDE's KSyntaxHighlighting framework, so any syntax supported by that framework can be added. An optional command-line program is provided. Skylighting is intended to be the successor to highlighting-kate. . This is a command-line tool that can output HTML and LaTeX. Package: slepc-dev Description-md5: 401ffbf37608baaea035f71eea102d0d Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dependency package which depends on the latest version of SLEPc. Package: slepc3.21-doc Description-md5: e26c7639c4076228fcff58e6117cbb60 Description-en: Scalable Library for Eigenvalue Problem Computations SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This package contains the documentation and examples for SLEPc. Package: slepc64-dev Description-md5: 8a97b37eab60a91728af12952b1274ed Description-en: Scalable Library for Eigenvalue Problem Computations (64-bit) SLEPc is a software library for the solution of large scale sparse eigenvalue problems on parallel computers. It is an extension of PETSc and can be used for either standard or generalized eigenproblems, with real or complex arithmetic. It can also be used for computing a partial SVD of a large, sparse, rectangular matrix. . This is a dependency package which depends on the latest version of SLEPc with 64-bit indexing. Package: slm Description-md5: 0d924f5438cbda89ec1d0c1dfdda2e53 Description-en: school library management SLM stands for "school library management". It provides a web service useful for teams who lend school books to students. Here are some features: . - defining constants for the school, like name, logo, manager's name - creating a catalogue of available books - managing the inventory of books, each one identified by a unique barcode - importing lists of students, with their optional curricula - lending quickly a few books to every student, with the help of a barcode reader - managing the book returns, with the help of a barcode reader - replying to some request, like "whom is this book?", list of students which still owe books, list of students who have no book lended, and so on. - every web page comes with a contextual help Package: slony1-2-bin Description-md5: 8c1e911069818f6f365047cde52730be Description-en: replication system for PostgreSQL: daemon and administration tools Slony-I is an asynchronous master-to-multiple-slaves replication system for PostgreSQL with cascading and slave promotion. . This package contains the slon daemon and the slonik administration tool. It should be installed on those hosts where Slony-I daemons are to be run or administered, which usually, but not necessarily, are the hosts where the database server nodes are running. Package: slony1-2-doc Description-md5: 75e372d25ef877e709a35237fb730bb7 Description-en: Slony-I documentation Slony-I is an asynchronous master-to-multiple-slaves replication system for PostgreSQL with cascading and slave promotion. . This package contains the documentation for the Slony-I system. It is not required for normal operation. Package: snap-confine Description-md5: 3223c275ff89224fda1b277eec5e7ffe Description-en: Transitional package for snapd This is a transitional dummy package. It can safely be removed. Package: snapd-xdg-open Description-md5: ba6c49ad1a4e739a75a7e8d0fde06a77 Description-en: Transitional package for snapd-xdg-open This is a transitional dummy package. It can safely be removed. Package: sonic-pi Description-md5: cfba0a7e5a8e7b5b67609733f1db5d4b Description-en: Live Coding for Everyone A free live coding synth for everyone. Originally designed to support computing and music lessons within schools. Use code to compose and perform in classical and contemporary styles ranging from Canons to Dubstep. Package: sonic-pi-samples Description-md5: 4261dab425530defd16645d72e7ac128 Description-en: Audio samples for Sonic Pi Sonic Pi is shipped with a default audio sample collection, containing musical snippets, sound effects and rhythm loops created by various contributors at freesound.org. Package: sonic-pi-server Description-md5: 2d864efee759bf33ce577cef58f2c17d Description-en: Backend server for Sonic Pi The Sonic Pi backend server connects to SuperCollider to play synths and samples. Advanced users may want to use the server for experiments without the graphical user interface provided by the sonic-pi package. Package: sonic-pi-server-doc Description-md5: 6e3ceb95e26e480423493b9c01508a6a Description-en: Documentation for Sonic Pi These are the Markdown source files and a converted HTML version of the Sonic Pi main documentation. If you installed the sonic-pi IDE package, you propably don't need this package, as a copy of the documentation is already included within the application. Package: sonic-visualiser Description-md5: 71313c8d0ce8681e6c5700da7680c8e6 Description-en: viewing and analysing the contents of music audio files The aim of Sonic Visualiser is to be the first program you reach for when want to study a musical recording rather than simply listen to it. . Sonic Visualiser could be of particular interest to musicologists, archivists, signal-processing researchers and anyone else looking for a friendly way to take a look at what lies inside the audio file. Package: soundgrain Description-md5: b7af2b59e227c94fde99dfea3e3c5fe6 Description-en: Graphical interface to control granular sound synthesis modules Soundgrain is a graphical interface where users can draw and edit trajectories to control granular sound synthesis. It is written in Python and WxPython and uses pyo as its audio engine. Package: spip Description-md5: 9164b16e6be7baea731f39dceca115b4 Description-en: website engine for publishing SPIP is a publishing system for the Internet in which great importance is attached to collaborative working, to multilingual environments, and to simplicity of use for web authors. . SPIP's benefit consists in: . * managing a magazine type site i.e. made up mainly of articles and news items inserted in an arborescence of sections nested in each others. * completely separating and distributing three kinds of tasks over various players: the graphic design, the site editorial input through the submission of articles and news items and the site editorial management. * spare the webmaster and all the participants to the life of the site, a number of tedious aspects of web publishing as well as the need to learn lengthy technical skills. SPIP allows you to start creating your sections and articles straight away. Package: sqlformat Description-md5: f2cb2e72112fd06ed30d9dad2fc0081d Description-en: SQL formatting utility The sqlformat command-line tool can be used to reformat SQL file according to specified options or prepare a snippet in some programming language (only Python and PHP currently supported). Package: sra-toolkit Description-md5: 1a61cd8ef6f417d5f86c6c13697e7a05 Description-en: utilities for the NCBI Sequence Read Archive Tools for reading the SRA archive, generally by converting individual runs into some commonly used format such as fastq. . The textual dumpers "sra-dump" and "vdb-dump" are provided in this release as an aid in visual inspection. It is likely that their actual output formatting will be changed in the near future to a stricter, more formalized representation[s]. PLEASE DO NOT RELY UPON THE OUTPUT FORMAT SEEN IN THIS RELEASE. . Other tools distributed in this package are: abi-dump, abi-load align-info bam-load cache-mgr cg-load copycat fasterq-dump fastq-dump, fastq-load helicos-load illumina-dump, illumina-load kar kdbmeta latf-load pacbio-load prefetch rcexplain remote-fuser sff-dump, sff-load sra-pileup, sra-sort, sra-stat, srapath srf-load test-sra vdb-config, vdb-copy, vdb-decrypt, vdb-encrypt, vdb-get, vdb-lock, vdb-passwd, vdb-unlock, vdb-validate . The "help" information will be improved in near future releases, and the tool options will become standardized across the set. More documentation will also be provided documentation on the NCBI web site. . Tool options may change in the next release. Version 1 tool options will remain supported wherever possible in order to preserve operation of any existing scripts. Package: sslh Description-md5: f4689a572a444f710de3ad341846f07b Description-en: Applicative protocol multiplexer sslh lets one accept HTTPS, SSH, OpenVPN, tinc and XMPP connections on the same port. This makes it possible to connect to any of these servers on port 443 (e.g. from inside a corporate firewall, which almost never block port 443) while still serving HTTPS on that port. Package: starpu-examples Description-md5: 601fd3c318d53962421cdbdd364f312b Description-en: Task scheduler for heterogeneous multicore machines - exs StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains application examples. Package: starpu-tools Description-md5: eb2ba87d4cd88833bc91b0cf6b977279 Description-en: Task scheduler for heterogeneous multicore machines - tools StarPU is a runtime system that offers support for heterogeneous multicore machines. While many efforts are devoted to design efficient computation kernels for those architectures (e.g. to implement BLAS kernels on GPUs or on Cell's SPUs), StarPU not only takes care of offloading such kernels (and implementing data coherency across the machine), but it also makes sure the kernels are executed as efficiently as possible. . This package contains StarPU tools. Package: stellarium Description-md5: ee62de66fb5b3319c81294a9100bca29 Description-en: real-time photo-realistic sky generator Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you really see what you can see with your eyes, binoculars or a small telescope. . Some features: - default star catalogue with over 600 thousand stars, - information about the brightest stars (spectral type, distance, etc.), - downloadable star catalogue extensions, for up to 210 million stars, - all New General Catalogue (NGC) objects, - images of almost all Messier objects and the Milky Way, - real time positions of the planets and their satellites, - 13 different cultures with their constellations, - artistic illustrations of the 88 Western constellations, - very realistic atmosphere, sunrise and sunset, - 7 panoramic landscapes (more can be made or downloaded from the website), - scripting with ECMAScript, - plug-in support: Stellarium comes with 8 plug-ins by default, including: - artificial satellites plug-in (updated from an on-line TLE database), - ocular simulation plug-in (shows how objects look like in a given ocular), - Solar System editor plug-in (imports comet and asteroid data from the MPC), - telescope control plug-in (Meade LX200 and Celestron NexStar compatible). . Stellarium should not be used for very high accurate calculation or ephemerids like eclipse predictions. However, it is the ideal program to prepare an observation evening with naked eye, binocular, or small telescope. Package: stellarium-data Description-md5: abb0e128a19de6802f33b1e1384d5593 Description-en: Stellarium data files Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you really see what you can see with your eyes, binoculars or a small telescope. . This package contains data files required by Stellarium. They include textures, star catalogues and translations. Package: stterm Description-md5: ca3f174242cd4ddb2ca54c6c26b4f986 Description-en: simple terminal emulator for X st is a terminal emulator from the suckless project with a focus on simplicity, clarity and frugality. The project's philosophy is about keeping things simple, minimal and usable. . st supports most VT10X escape sequences, serial lines, XIM, utmp via utmp(1), clipboard handling, mouse and keyboard shortcuts, UTF-8, wide characters, resize, 256 colors, true colors, antialiased fonts (using fontconfig), fallback fonts, and line drawing. It does *not* provide a scrollback buffer: users are encouraged to use a terminal multiplexter. . This Debian package is called 'stterm' for historical reasons. Package: sync-ui Description-md5: 43f2f9e87c9ebcc1307ce50ed54fa85f Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (GTK+ GUI) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a GTK+ graphical user interface. Package: syncevolution Description-md5: ae5cd170e8b5a2c467595c7aa9fc3b30 Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (CLI) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides the CLI client. Package: syncevolution-common Description-md5: 5b858385969f9e19bde689aa3fb66b7a Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (common files) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides common architecture independent files. Package: syncevolution-dbus Description-md5: 319b8be99541ac5c70b252c07bcbf11b Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (D-Bus support) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides D-Bus services. Package: syncevolution-http Description-md5: 0d557d7a93fb316cc743dd8b1208299b Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (HTTP server) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a python script to make SyncEvolution act as a HTTP(S) server for other SyncML clients. Package: syncevolution-libs Description-md5: 9bc8d5e3133dba6ef701edb6d7a219bd Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (backend libraries) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides private libraries and plugins. Package: syncevolution-libs-gnome Description-md5: d5a8e07fe9b67bdf35d27774784d269f Description-en: Sync personal information data via SyncML/CalDAV/CardDAV (GNOME backend) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides private libraries and plugins for GNOME. Package: sysdig Description-md5: b5aa9051be9c2f149d89a453971ec549 Description-en: system-level exploration and troubleshooting tool Sysdig instruments your physical and virtual machines at the OS level by installing into the Linux kernel and capturing system calls and other OS events. Then, using sysdig's command line interface, you can filter and decode these events in order to extract useful information and statistics. . Sysdig can be used to inspect live systems in real-time, or to generate trace files that can be analyzed at a later stage. . This package contains the tool to inspect trace files. If you want to inspect a live system, you also need to install the according kernel module, shipped in the package falcosecurity-scap-dkms. Package: tabix Description-md5: 95d85105aff6af5456d3efc49aad02e3 Description-en: generic indexer for TAB-delimited genome position files Tabix indexes files where some columns indicate sequence coordinates: name (usually a chromosome), start and stop. The input data file must be position sorted and compressed by bgzip (provided in this package), which has a gzip like interface. After indexing, tabix is able to quickly retrieve data lines by chromosomal coordinates. Fast data retrieval also works over network if an URI is given as a file name. . This package is built from the HTSlib source, and provides the bgzip, htsfile, and tabix tools. Package: tcl-hamlib Description-md5: 595d92ac5ae4d3a0a280913cce6611b7 Description-en: Run-time Tcl library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the Tcl bindings of the library. Package: telemetry-tempest-plugin Description-md5: e8b13bd2b5547104c96622df0cd20415 Description-en: OpenStack Integration Test Suite - Telemetry plugin Tempest is a set of integration tests to be run against a live Openstack cluster in order to make sure that all components are working as expected. Tempest will start and stop virtual machine in order to check that your cloud is working as expected. . This package contains the Telemetry plugin. Package: tldr Description-md5: bfe8ebc058cce6653c20fea6f85a4246 Description-en: transitional package This is a transitional package. It can safely be removed. Package: tldr-hs Description-md5: 5b5d3240eb011fb3e7b7b3427acf238c Description-en: Haskell tldr client Haskell tldr client with support for updating and viewing tldr pages. . The TLDR pages are a community effort to simplify the beloved man pages with practical examples. See https://tldr.sh/ Package: tm-align Description-md5: 667f2046704f2eef863a73792384abed Description-en: structural alignment of proteins TM-align is a computer algorithm for protein structure alignment using dynamic programming. The scoring is performed by the TM-score rotation matrix. This is similar to the RMSD in that unaligned portions of the structure influence the scoring less than the more structurally conserved regions. Package: tomb Description-md5: 9bd3b09373006a6371c1cc303641ecc1 Description-en: crypto undertaker Tomb is a free and easy to operate desktop application for fairly strong encryption of personal files. A tomb is like a locked folder that can be transported and hidden in filesystems; its keys are password protected and can be kept separate, for instance keeping the tomb file in your computer's harddisk and the key file on a USB stick. . Tomb relies on dm-crypt (and cryptsetup) as an encryption backend using the aes-xts-plain64 cypher. Package: tortoize Description-md5: 684a333d1763df8a524d48f61346023b Description-en: Application to calculate ramachandran z-scores Tortoize validates protein structure models by checking the Ramachandran plot and side-chain rotamer distributions. Quality Z-scores are given at the residue level and at the model level (ramachandran-z and torsions-z). Higher scores are better. To compare models or to describe the reliability of the model Z-scores jackknife- based standard deviations are also reported (ramachandran-jackknife-sd and torsion-jackknife-sd). Package: tree-ppuzzle Description-md5: f51dfdee73ef2bf17a344aa9cbb9a6bd Description-en: Parallelized reconstruction of phylogenetic trees by maximum likelihood TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that implements a fast tree search algorithm, quartet puzzling, that allows analysis of large data sets and automatically assigns estimations of support to each internal branch. TREE-PUZZLE also computes pairwise maximum likelihood distances as well as branch lengths for user specified trees. Branch lengths can also be calculated under the clock-assumption. In addition, TREE-PUZZLE offers a novel method, likelihood mapping, to investigate the support of a hypothesized internal branch without computing an overall tree and to visualize the phylogenetic content of a sequence alignment. . This is the parallelized version of tree-puzzle. Package: tree-puzzle Description-md5: e7ba01e41e23764714cca5c6fc23e745 Description-en: Reconstruction of phylogenetic trees by maximum likelihood TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that implements a fast tree search algorithm, quartet puzzling, that allows analysis of large data sets and automatically assigns estimations of support to each internal branch. TREE-PUZZLE also computes pairwise maximum likelihood distances as well as branch lengths for user specified trees. Branch lengths can also be calculated under the clock-assumption. In addition, TREE-PUZZLE offers a novel method, likelihood mapping, to investigate the support of a hypothesized internal branch without computing an overall tree and to visualize the phylogenetic content of a sequence alignment. Package: tree-puzzle-doc Description-md5: f5a4f1811eb754a7263b927052e5daab Description-en: Reconstruction of phylogenetic trees by maximum likelihood (doc) TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that implements a fast tree search algorithm, quartet puzzling, that allows analysis of large data sets and automatically assigns estimations of support to each internal branch. TREE-PUZZLE also computes pairwise maximum likelihood distances as well as branch lengths for user specified trees. Branch lengths can also be calculated under the clock-assumption. In addition, TREE-PUZZLE offers a novel method, likelihood mapping, to investigate the support of a hypothesized internal branch without computing an overall tree and to visualize the phylogenetic content of a sequence alignment. . This is the documentation package for tree-puzzle Package: trickle Description-md5: 84b7ecc8ce284790e36a3acf902e8b29 Description-en: user-space bandwidth shaper Trickle is a voluntary, cooperative bandwidth shaper. it works entirely in userland and is very easy to use. . The most simple application is to limit the bandwidth usage of programs. Package: trocla Description-md5: 4fc15767129a0db0e1e557d486eeed1b Description-en: password store for password creation and retrieval Trocla provides you a simple way to create and store (random) passwords on a central server, which can be retrieved by other applications. . A common use-case for this package is integration with a Puppet Server, which is provided by the trocla-puppetserver package. Package: trocla-puppetserver Description-md5: b0766202fbd76a68b01b9e2dbd3d15a0 Description-en: password store for password creation and retrieval (puppetserver integration) Trocla provides you a simple way to create and store (random) passwords on a central server, which can be retrieved by other applications. . This package provides integration into a Puppet Server installation. Package: tryton-modules-account Description-md5: d9deecc10cfe92a326e82e81c2394799 Description-en: Tryton application platform - account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module with: . * General accounting * Fiscal year management * Taxes management * Journal entries * Reconciliation . And with reports: . * General ledger * Trial balance * Balance sheet * Income statement * Third party balance * Aged balance * General journal Package: tryton-modules-account-asset Description-md5: 77c2488ab8a6f44b2107cd1fe164e9bc Description-en: Tryton application platform - account asset module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to handle the depreciation of fixed assets. Package: tryton-modules-account-be Description-md5: 8e18b5b6a388cecc93e84e48f468caa7 Description-en: Tryton application platform - belgian account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module with a chart of accounts for Belgium. Package: tryton-modules-account-cash-rounding Description-md5: fb7d429486e821786b162f4736f771e7 Description-en: Tryton application platform - account cash rounding module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account_cash_rounding module allows cash amounts to be rounded using the cash rounding factor of the currency. . When the invoice has to round the lines to pay, the exceeded amount is debited or credited to the accounts defined on the accounting configuration. . Sales and invoices have the rounding activated by default based on the accounting configuration flag. Purchase use the last purchase for the supplier as the default value and transfer the value to the created invoices. Package: tryton-modules-account-credit-limit Description-md5: 7a607ee4a776342184a2b4aaea345437 Description-en: Tryton application platform - account credit limit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to manage credit limits for parties. Package: tryton-modules-account-de-skr03 Description-md5: 7babe3ee77b3456ee63941386ec20d2e Description-en: Tryton application platform - german account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module with the German chart of accounts SKR03. Package: tryton-modules-account-deposit Description-md5: 0e7a8b7085dc45f5c68d034d8b35a2f8 Description-en: Tryton application platform - account deposit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to manage deposit amounts paid by the customer in advance. Package: tryton-modules-account-dunning Description-md5: 507572571680a71f8a9b914914d22b63 Description-en: Tryton application platform - account dunning module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to define dunning procedures and levels. Package: tryton-modules-account-dunning-email Description-md5: d970b4e82211e104c0d0c032da575836 Description-en: Tryton application platform - account dunning email module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to send a dunning email to the party email contact after the processing of dunnings. Package: tryton-modules-account-dunning-fee Description-md5: 212d005d096e6acaab5f830824b53429 Description-en: Tryton application platform - account dunning fee module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to generate fees as accounting moves when processing dunnings which are at a level with a fee defined. Package: tryton-modules-account-dunning-letter Description-md5: 9374aa6489a6d43a8f018925d932ba80 Description-en: Tryton application platform - account dunning letter module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to generate a dunning letter for configured levels after the processing of dunnings. Package: tryton-modules-account-es Description-md5: e1530f2648a0c95f894b3848a6984d54 Description-en: Tryton application platform - spanish account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Spanish account module defines the following charts of account: * Plan General Contable Español 2008 * Plan Contable para PYMES 2008 . The chart was published as `REAL DECRETO 1514/2007 https://www.boe.es/boe/dias/2007/11/20/pdfs/C00001-00152.pdf`_ on 20th November 2007. . A wizard allows one to generate the following AEAT files: . * Modelo 111 * Modelo 115 * Modelo 303 Package: tryton-modules-account-eu Description-md5: e2d1b95e05aab292b466d9d7c6bf2872 Description-en: Tryton application platform - account europe module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides common accounting requirements in Europe like e.g. the EC Sales List (ESL). Package: tryton-modules-account-fr Description-md5: 41ece7f1c3e7e08149e2f1e14bbc1e76 Description-en: Tryton application platform - french account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module with a chart of accounts for France. Package: tryton-modules-account-fr-chorus Description-md5: d3424cb57806610b8cda758fd46878d5 Description-en: Tryton application platform - account french chorus module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account_fr_chorus module allows one to send invoices through the Chorus Pro portal . . If the party is activated for Chorus Pro, all posted customer invoices are queued to be sent. A cron job will send them every 15 minutes by default using the credentials from the accounting configuration. Package: tryton-modules-account-invoice Description-md5: 5b12d23594ae37aabfa92a936f8f212e Description-en: Tryton application platform - account invoice module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module with: . * Payment Term * Invoice/Credit Note * Supplier Invoice/Supplier Credit Note . With the possibilities: . * to follow the payment of the invoices. * to define invoice sequences on fiscal year or period. * to credit any invoice. Package: tryton-modules-account-invoice-correction Description-md5: 018e996ddbaacc9a44882425d72c72f1 Description-en: Tryton application platform - account invoice correction module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account invoice correction module adds a wizard on invoice which allows select lines for which the unit price must be corrected. A new invoice is created with those lines in double: once with the original quantity, once with the inverted quantity. Package: tryton-modules-account-invoice-defer Description-md5: 2f4a15f53682c42af906150229861763 Description-en: Tryton application platform - account invoice defer module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Account Invoice Defer Module* allow to defer the expense or the revenue of an invoice line over many periods. Package: tryton-modules-account-invoice-history Description-md5: bf06adb6a8bcea137f39fe759e9b9d4b Description-en: Tryton application platform - account invoice history module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module providing historization for invoices. Package: tryton-modules-account-invoice-line-standalone Description-md5: 87c8c181ea2ce7859f5d146a8155cdcc Description-en: Tryton application platform - account invoice line standalone module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to create standalone invoice lines that can be added later to a draft invoice. The invoice will only accept invoice lines of the same type, company, currency and party. Package: tryton-modules-account-invoice-secondary-unit Description-md5: e931cbdab903823809b13ce4416036e9 Description-en: Tryton application platform - account invoice secondary unit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account invoice secondary unit module adds a secondary unit of measure on invoice line. Package: tryton-modules-account-invoice-stock Description-md5: 9122789dbb020d4105ae03290206da33 Description-en: Tryton application platform - account invoice stock module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package provides links between invoice lines and stock moves. It is needed as a dependency of the sale and purchase modules. Package: tryton-modules-account-payment Description-md5: 33dcb2869fa0a7b3dfa4edc77496baeb Description-en: Tryton application platform - account payment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to generate grouped payments for receivable or payable lines. Package: tryton-modules-account-payment-braintree Description-md5: 4fd925e91c60055b7bf79b0d62fa5691 Description-en: Tryton application platform - account payment braintree module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account_payment_braintree module allows receipt of payments using `Braintree`_. It uses the `Drop-in UI`_ in a checkout form to handle the `payment method nonce`_ for card and other supported payment methods. . .. _`Braintree`: https://www.braintreepayments.com/ .. _`Drop-in UI`: https://developers.braintreepayments.com/guides/drop-in/overview/javascript/v3 .. _`payment method nonce`: https://developers.braintreepayments.com/guides/payment-method-nonces . Account ******* . The Account stores the information about the Braintree account like the merchant ID, the public and secret keys etc. . The account's webhook endpoint is the URL used by Braintree's webhooks_. If no webhook is setup, disputes will not update existing payments. . .. _webhooks: https://developers.braintreepayments.com/guides/webhooks/overview . Customer ******** . The Customer allows parties to be registered as Braintree customers. The checkout/add card button opens the Braintree Drop-in UI form. . A scheduled task runs every hour to create new customers on Braintree and another to delete them if they have become inactive. . Journal ******* . The journal has a new field to store the Braintree account if the process method is set to "Braintree". . Payment ******* . The payment also has a checkout button which opens the Braintree Drop-in UI form. A payment can be processed using a nonce or a `payment method`_ from the customer. In case of an error, a new field displays the error message from Braintree. The settle field can be unchecked to only authorize on processing and settle the amount in a second step. . Two scheduled tasks run every 15 minutes to transact and settle each processing payment. Another scheduled task also runs every 15 minutes to pull updates for each processing payment until they have succeeded or failed. . The Braintree payments have a pull button which can be used to force an update with the braintree transaction. . .. _payment method: https://developers.braintreepayments.com/guides/payment-methods . Configuration ************* . The account_payment_braintree module uses the section `account_payment_braintree` to retrieve some parameters: . - `payment_methods_cache`: defines the duration in seconds that payment methods are kept in the cache. The default value is `15 * 60`. Package: tryton-modules-account-payment-clearing Description-md5: d2324e8452a0a1b9f6d91ab471b3d847 Description-en: Tryton application platform - account payment clearing module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package can be used to track the correct state of invoice states and payable/receivable amounts in the time between the payment order and the receival of the bank statement. It adds the possibility to generate account moves on successful payments between the receivable/payable account and a clearing account on the payment journal. The clearing account will be used on statement lines linked to those payments. Package: tryton-modules-account-payment-sepa Description-md5: fe5804d137b9e3ac5a2b0426dd9ce29e Description-en: Tryton application platform - account payment sepa module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to generate SEPA files for grouped payments. Package: tryton-modules-account-payment-sepa-cfonb Description-md5: 62954025301964050515632edd928055 Description-en: Tryton application platform - account payment sepa cfonb module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds CFONB flavors to SEPA messages. Package: tryton-modules-account-payment-stripe Description-md5: 2c23668bc7a9f32f48e7e551a73b96c0 Description-en: Tryton application platform - account payment stripe module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account_payment_stripe module allows one to receive payments from `Stripe`. It uses `Stripe.js and Stripe Elements` in a checkout form to handle `Setup Intent` and `Payment Intent` by card. . Note: The checkout form loads Javascript from stripe.com. It can be considered a potential privacy breach by fetching data from an external website at runtime. Nevertheless stripe.com claims: To be PCI compliant, you must load Stripe.js directly from https://js.stripe.com. So please use this module at your discretion. . - Stripe: https://stripe.com/ - Stripe.js and Stripe Elements: https://stripe.com/docs/web - Setup Intent: https://stripe.com/docs/api/setup_intents - Payment Intent: https://stripe.com/docs/api/payment_intents - PCI compliance: https://stripe.com/docs/security/guide#validating-pci-compliance . Account ======= . The Account stores the information about the Stripe account like the secret key, the publishable key and the webhook signing secret. . The account's webhook endpoint is the URL used by stripe webhooks. For additional security, the Stripe's requests signature can be verified if the webhook `signing secret` is set on the Account. If no webhook is setup, a cron task fetches the new events. . - webhooks: https://stripe.com/docs/webhooks - signing secret: https://stripe.com/docs/webhooks/signatures . Customer ======== . The customer allows to register parties as Stripe customers. The checkout button opens the Stripe checkout form. . A cron task runs every hour to create new customers on Stripe and another to delete them if they are inactivated. . Journal ======= . The journal has a new field for the Stripe account. . Payment ======= . The payment has also a checkout button which opens the Stripe checkout form. A payment can be processed off-session using a source or payment method from the customer. In case the payment method requires authorization, an email is sent to the party with a link to the checkout form. In case of error, it has also new fields which display the error messages. . A cron task runs every 15 minutes to charge each processing payment. . The capture box can be unchecked to only authorize on processing and capture the amount in a second step. . If the payment is disputed, it will be updated at the closure of the dispute. . It is possible to partially or completely refund a payment. . - https://stripe.com/docs/sources - https://stripe.com/docs/payments/payment-methods . Configuration ============= . The account_payment_stripe module uses the section `account_payment_stripe` to retrieve some parameters: . - sources_cache: defines the duration in seconds the sources are kept in the cache. The default value is `15 = 60`. . - max_network_retries: defines the maximum number of retries the Stripe library may perform. The default value is `3`. Package: tryton-modules-account-product Description-md5: 1692932fb70b744d380fc43e3571b23a Description-en: Tryton application platform - account product module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module that adds accounting properties on products and product categories like: . * accounts for expense/revenue * taxes for customers/suppliers Package: tryton-modules-account-statement Description-md5: 22f368913f36aded57b7207ff54c50d5 Description-en: Tryton application platform - account statement module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module with: . * Statement * Statement journal Package: tryton-modules-account-statement-aeb43 Description-md5: 51e4b4c4a31ddba3435f4bd1aae2a166 Description-en: Tryton application platform - account statement aeb43 module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Account Statement AEB43 module implements the import of the `Norm 43 `_ of the Spanish banks association file as statement. Package: tryton-modules-account-statement-coda Description-md5: 4b6331301a003e0fd2d334b096009673 Description-en: Tryton application platform - account statement coda module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Account Statement Coda module implements the import of the `CODA `_ file as statement. Package: tryton-modules-account-statement-ofx Description-md5: 4e6d54ade7f92a42d9e8a51d15a724b7 Description-en: Tryton application platform - account statement ofx module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Account statement OFX module implement the import of the `OFX `_ file as statement. Package: tryton-modules-account-statement-rule Description-md5: afa06ca92e48f49de21857c7c5c6573b Description-en: Tryton application platform - account statement rule module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to define rules for automatic processing of statement lines from imported files. Package: tryton-modules-account-stock-anglo-saxon Description-md5: 0468c81a6ec9d737a3ba6502832d21c6 Description-en: Tryton application platform - account stock anglo-saxon module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the anglo-saxon accounting model for stock valuation. Package: tryton-modules-account-stock-continental Description-md5: 958dee06e1bbb4426215fa03f7707f02 Description-en: Tryton application platform - account stock continental module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the continental accounting model for stock valuation. Package: tryton-modules-account-stock-landed-cost Description-md5: aed0dc2e7fac2d5a0f3a960e42125994 Description-en: Tryton application platform - account stock landed cost module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to allocate landed costs on supplier shipments after their reception. Package: tryton-modules-account-stock-landed-cost-weight Description-md5: b2045f83bea378bd0993bc08af791e51 Description-en: Tryton application platform - account stock landed cost weight module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds an allocation method for landed costs based on the weight of the products. Package: tryton-modules-account-tax-cash Description-md5: 89aedc732b49891cbc6064d557b22fc1 Description-en: Tryton application platform - account tax cash module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The account_tax_cash module allows to make tax report on cash basis. . The tax groups reported on cash basis are defined on the *Fiscal Year* and *Period*. They can also be defined on the supplier invoices which get the default values from the *Party*. . When a payment lines is added to an invoice, the tax lines for the proportional amount are set on the corresponding tax code for the current period. If a payment line is removed from an invoice, the reverse operation is applied. . When closing a *Period*, a warning is raised if there are still receivable/payable lines not reconciled or linked to an invoice. . Hint: The invoice template may need to be adapated to include a legal notice when tax on cash basis is used. It can be tested with the *on_cash_basis* property of the *Invoice Tax*. Package: tryton-modules-account-tax-rule-country Description-md5: adcaf0bde82ed6ed9188e55ea6d843bf Description-en: Tryton application platform - account tax rule country Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to define tax rules based on origin and destination countries. Package: tryton-modules-all Description-md5: 2a2b586e96918c07eba7610ed0afc526 Description-en: Tryton application platform - Tryton modules metapackage Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package is a metapackage depending on all available Tryton modules. Package: tryton-modules-analytic-account Description-md5: bb39cf04e707e1e85e73b6ef537e6d5c Description-en: Tryton application platform - analytic account module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module with: . * Analytic accounting with any number of analytic charts . And with report: . * Analytic account balance Package: tryton-modules-analytic-invoice Description-md5: 003525d25878cc56e696580d96be2148 Description-en: Tryton application platform - analytic invoice module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module adding analytic accounts on invoice lines and generating analytic lines on the moves of invoices. Package: tryton-modules-analytic-purchase Description-md5: 14d260eb2fe6912e0c546b7d361e8577 Description-en: Tryton application platform - analytic purchase module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module adding analytic accounts on purchase lines. Package: tryton-modules-analytic-sale Description-md5: f5460ef8022b2c4529ac89ae30713d00 Description-en: Tryton application platform - analytic sale module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the financial and accounting module adding analytic accounts on sale lines. Package: tryton-modules-attendance Description-md5: fcc7386c19ae22444ffc70685f29a6d5 Description-en: Tryton application platform - doc/index.rst Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). Package: tryton-modules-authentication-sms Description-md5: f3e4104b112beb2184b4b5633a042280 Description-en: Tryton application platform - authentication sms module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to authenticate users via SMS. There are two authentication methods which can be used in the authentications list of the session section in the configuration: . The sms method just sends a code via SMS to the user. This code can directly be used in the login dialog. . The password_sms method sends a code only after the user entered a valid password providing a two-factor authentication method (https://en.wikipedia.org/wiki/Two-factor_authentication). . Both methods require that the user has a *mobile* phone number defined otherwise he can not be authenticated with those methods. Package: tryton-modules-bank Description-md5: 684e6d33dfe468266f8bf5fca4c090cc Description-en: Tryton application platform - bank module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define banks and bank accounts. Package: tryton-modules-carrier Description-md5: 721a86b9cc1c8abc63c23484ee9aec94 Description-en: Tryton application platform - carrier module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of carrier costs. Package: tryton-modules-carrier-percentage Description-md5: fc2f9c7857dbe5ae42b19ee44722d474 Description-en: Tryton application platform - carrier percentage module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of carrier costs based on the percentage of the amount of sale or purchase prices. Package: tryton-modules-carrier-subdivision Description-md5: fcc7386c19ae22444ffc70685f29a6d5 Description-en: Tryton application platform - doc/index.rst Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). Package: tryton-modules-carrier-weight Description-md5: f41a6b275717178a873f42b169512ece Description-en: Tryton application platform - carrier weight module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of carrier costs based on weight. Package: tryton-modules-commission Description-md5: 73a0619eb470ab5a7c1830c3b4612f2a Description-en: Tryton application platform - commission module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to manage commissions for sales agents. Package: tryton-modules-commission-waiting Description-md5: 91a594d3e5a12b1a9daff72cb98c971e Description-en: Tryton application platform - commission waiting Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to generate account moves for commissions to a waiting account, that is cleared on posting of the invoice. Package: tryton-modules-company Description-md5: 1b8b94d0d0d3af0072cdf9a19b44c88c Description-en: Tryton application platform - company module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package defines company and employees: . * Add main and current company on users preferences. * Add company on properties. * Define new report parser for report with company header. * Add letter template on party. * Make the scheduler run on each company. Package: tryton-modules-company-work-time Description-md5: 7af0e16e66fd039ae22d0bd767b67bb5 Description-en: Tryton application platform - company work time module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package allows one to define the company work time. Package: tryton-modules-country Description-md5: 046f7a6be93b26b3eb6cf65e7c621790 Description-en: Tryton application platform - country module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module defines all countries and subdivisions. Package: tryton-modules-currency Description-md5: 31e0b2beb9cbd96ae38d350782bfc9d5 Description-en: Tryton application platform - currency module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module defines currencies and exchange rates and allows one to customize the formatting of currency amounts. Package: tryton-modules-customs Description-md5: 7ebf34220d396a2349d5d7b0978ee678 Description-en: Tryton application platform - customs module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to define customs duty based on the tariff code of the "Harmonized Commodity Description and Coding System", also known as the "Harmonized System" (HS) (s.a. https://en.wikipedia.org/wiki/Harmonized_System) Package: tryton-modules-dashboard Description-md5: 5eef331ca697b4bd52463841901c3852 Description-en: Tryton application platform - dashboard module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package allows one to create a personalized dashboard. Package: tryton-modules-edocument-uncefact Description-md5: 5ee5bf274bacf1c5451546439e1715b1 Description-en: Tryton application platform - edocument un/cefact module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module implements electronic documents from the United Nations Centre for Trade Facilitation and Electronic Business (UN/CEFACT): . * Cross-Industry-Invoice (16B-CII) Package: tryton-modules-edocument-unece Description-md5: 1cac818256967256be8c8318d59720c4 Description-en: Tryton application platform - edocument unece module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds many codes from the United Nations Economic Commission for Europe (UNECE): . * Recommendation N°. 20 Codes for Units of Measure Used in International Trade . * 5153 Duty or tax or fee type name code . * 5305 Duty or tax or fee category code from Package: tryton-modules-google-maps Description-md5: a8b6abd18723106b6f9f1063a024c39b Description-en: Tryton application platform - google maps module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds a link from addresses to Google Maps. Package: tryton-modules-incoterm Description-md5: 3012d4efc8962fb196e5855195458a0c Description-en: Tryton application platform - incoterm module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This *Incoterm Model* is used to manage the `Incoterms `_ on sales, purchases and shipments. The module contains the Incoterm versions of 2010 and 2020. Package: tryton-modules-ldap-authentication Description-md5: 4f40d32a91a8cad5558bc74db174c491 Description-en: Tryton application platform - ldap authentication module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to authenticate users to LDAP servers. Package: tryton-modules-marketing Description-md5: 5a41ac970aeae62367735e1270c17cf3 Description-en: Tryton application platform - marketing module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The marketing module defines the fundamentals for marketing modules. Package: tryton-modules-marketing-automation Description-md5: 6e84c7a9252c9fe0031101d55b4a66d6 Description-en: Tryton application platform - marketing automation module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The marketing_automation module allows marketing actions to be automated. It is based on scenarios and activities that are executed on selected records. . Scenario ******** . A scenario provides the entry point for records into an automated marketing campaign. Each record can only enter a scenario once. . A cron task runs periodically to find new records to apply the scenario to. . Activity ******** . The activities form a scenario. They define which action should be triggered and when it should happen. The activities are organized as a tree. . Actions ******* . Send E-Mail . The activity send an e-mail to the party defined on the record. The E-mail is composed using an HTML `Genshi `_ template with `record` in the evaluation context. All `` elements have their `href` replaced by a shortened version used to trigger children activities. If the `href` value is `unsubscribe`, it is replaced by the URL which allows the recipient to block their record for the scenario. A empty image is automatically added at the end of the `` to track when emails are opened. . Record ****** . It stores a reference to the records included in each scenario. If the record is blocked, no activity will be triggered for the record. . Record Activity *************** . It stores the state of a record for an activity of the scenario. . A cron task runs periodically to execute any waiting record activity that is due. . Configuration ************* . The marketing_automation module uses parameters from the section: . [marketing]: - `email_from`: The default `From` for the email. - `automation_base`: The base URL without a path for the unsubscribe URL and the empty image. The default value is created using the configuration `[web]` `hostname`. Package: tryton-modules-marketing-email Description-md5: 78116e728ff61784b3ce143002f6e35c Description-en: Tryton application platform - marketing email module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The marketing_email module manages mailing lists. . Mailing List ************ . A mailing list groups emails under a name and a language . Email ***** . It stores emails for a mailing list and provides links to the related party or web user. . Two actions are available: . - *Request Subscribe* which sends an e-mail to confirm the subscription to a list. . - *Request Unsubscribe* which sends an e-mail to confirm the unsubscription of an email address from the list. . Message ******* . It stores a message to send to all e-mails addresses on a list. A message is defined by: . * From: the address from which the message is sent. * List: the list of addresses to send the message to. * Title * Content * State: . * Draft * Sending * Sent . A wizard is available that sends a message to a unique e-mail address from the list for test purposes. . Configuration ************* . The marketing_email module uses parameters from the section: . - `[marketing]`: . - `email_from`: The default `From` for the e-mails that get sent. . - `email_subscribe_url`: the URL to confirm the subscription to which the parameter `token` will be added. . - `email_unsubscribe_url`: the URL to unsubscribe an e-mail address to which the parameter `token` will be added. . - `email_spy_pixel`: A boolean to activate spy pixel. Disable by default. Package: tryton-modules-notification-email Description-md5: 9457b7e35de8ab863caa2e4930476f52 Description-en: Tryton application platform - notification email module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one send notifications by e-mail when events occur on Tryton records (e.g. like a sale being validated or a shipment being sent). Package: tryton-modules-party Description-md5: 162152f717b7a4c230f8c56390aa8f38 Description-en: Tryton application platform - party module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to define parties, addresses etc. Package: tryton-modules-party-avatar Description-md5: e90d9cce4599774869c44239319f9519 Description-en: Tryton application platform - party avatar module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Party Avatar Module* adds an avatar to each party. Package: tryton-modules-party-relationship Description-md5: 8e99e95f7b4bd9300650450affc43e4c Description-en: Tryton application platform - party relationship module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to define different types of relations between parties. Package: tryton-modules-party-siret Description-md5: 4fb427c0c4e630a98e24ef0284883c69 Description-en: Tryton application platform - party siret module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds SIRET/SIREN number fields on party. . These numbers are used in France, for geographical identification of enterprises: . * SIREN (Système d’Identification du Répertoire des ENtreprises) * SIRET (Système d’Identification du Répertoire des ETablissements) Package: tryton-modules-product Description-md5: a59f8bc36fcd42056f10882ce3c321b9 Description-en: Tryton application platform - product module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define products, categories of product, units of measure and categories of units of measure. Package: tryton-modules-product-attribute Description-md5: 2143980d31015220074c524e6d1bc35b Description-en: Tryton application platform - product attribute module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to assign attributes and attribute sets to products (properties like colors, dimensions, etc.). Package: tryton-modules-product-classification Description-md5: ee8a1c48b68dccc49743afd51a5ad6dc Description-en: Tryton application platform - product classification module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module defines the base for other modules to create classifications of products. Package: tryton-modules-product-classification-taxonomic Description-md5: e9439a3a203aa7b8a081ad359db89d89 Description-en: Tryton application platform - product classification taxonomic module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the taxonomic classification to products. . The available classifications are: . Taxon A taxon (https://en.wikipedia.org/wiki/Taxon) is defined by a name and a taxonomic rank (https://en.wikipedia.org/wiki/Taxonomic_rank). It is stored inside a tree structure. . Cultivar A cultivar (https://en.wikipedia.org/wiki/Cultivar) is defined by a name, a taxon and a list of cultivar groups (https://en.wikipedia.org/wiki/Cultivar_group). Package: tryton-modules-product-cost-fifo Description-md5: 0d02f0af3941c323c27585eea48b0c2f Description-en: Tryton application platform - product cost fifo module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds FIFO cost method on the product form. Package: tryton-modules-product-cost-history Description-md5: 466c1372a3fd5cb515b1200e91744d6e Description-en: Tryton application platform - product cost history module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module historizes the product costs providing access to product costs in the past. Package: tryton-modules-product-cost-warehouse Description-md5: 8285c12acd2931e0a1736fa217abd16a Description-en: Tryton application platform - product cost warehouse module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Product Cost Warehouse Module* allows the cost price of products to be calculated separately for each warehouse. Package: tryton-modules-product-kit Description-md5: 27c008e6edc9e77037b5a5a21e8ad1f5 Description-en: Tryton application platform - product kit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Product Kit Module* adds kits and components to products. This enables a defined set of products to be sold or purchased using a single line. Package: tryton-modules-product-measurements Description-md5: b8f20d6cfe8ef977d4431e8a165ae70d Description-en: Tryton application platform - product measurements Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of following measurements for products: . * Length, Height, Width, Weight Package: tryton-modules-product-price-list Description-md5: 2d02c371e19e9a927ba4d8e37ad2dc39 Description-en: Tryton application platform - product price list module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define price list rules. Package: tryton-modules-product-price-list-dates Description-md5: cbb7b702a75392cd97f5a49f62099ef0 Description-en: Tryton application platform - product price list dates module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The product_price_list_dates module adds *Start Date* and *End Date* conditions to the *Price List Lines*. Package: tryton-modules-product-price-list-parent Description-md5: 25635c256184d094e30bb22fe3b34162 Description-en: Tryton application platform - product price list parent module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The product_price_list_parent module adds a *Parent* to the price list and the keyword `parent_unit_price` for the formula which contains the unit price computed by the parent price list. Package: tryton-modules-production Description-md5: db4536b8ecc963701e0cb9de8013401a Description-en: Tryton application platform - production module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the basics for production management. . * Bill of material * Production order Package: tryton-modules-production-outsourcing Description-md5: 083529173d022d556e584e2f0114c31c Description-en: Tryton application platform - production outsourcing module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The production outsourcing module allows to outsource production order per routing. When such outsourced production is set to waiting, a purchase order is created and its cost is added to the production. . To define an outsourced production, the routing must have a *Supplier*, a *Service* and its *Quantity* defined. Those values will be used to create the purchase order. The bought quantity is computed by multiplying the *Quantity* by the factor between the bill of material and the production quantity. Package: tryton-modules-production-routing Description-md5: c03622e61b34085cb8b4a43f756783ce Description-en: Tryton application platform - production routing module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module defines the routings for productions: - Routing, Step and Operation. Package: tryton-modules-production-split Description-md5: 9ccb6a4cc1d983380499cc6a52411a96 Description-en: Tryton application platform - production split module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Production Split module adds on the production a wizard that allows to split it. The production is split into productions of *Quantity*. If *Count* is set, it will be split only this number of times. On occasion there can be a production with the remaining quantity. Package: tryton-modules-production-work Description-md5: 645c87a5732e5dc91fc5cce653c78d88 Description-en: Tryton application platform - production work module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to manage work orders for productions. It also adds the work costs to the production cost. Package: tryton-modules-production-work-timesheet Description-md5: 6a30d2f1752f1e13eae46a24abd8e9ce Description-en: Tryton application platform - production work timesheet module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The production work timesheet module allows to enter timesheet for production works. Package: tryton-modules-project Description-md5: c76ff3b12f195307c20d99cb76be16b7 Description-en: Tryton application platform - project module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to manage projects. Package: tryton-modules-project-invoice Description-md5: 4bf5861fa83bd7ee830e156e8c63cd39 Description-en: Tryton application platform - project invoice module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to create invoices from projects. Package: tryton-modules-project-plan Description-md5: 8827913297041fe19427b868936f3865 Description-en: Tryton application platform - project plan module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds planning capabilities on projects. It provides - Task dependencies - Tasks leveling - Early Start and Late End computation - Resource allocation - Requests Package: tryton-modules-project-revenue Description-md5: a7cbc1e12e1d9c406a7df953eeb5539e Description-en: Tryton application platform - project revenue module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to add products on timesheet lines and define allowed services for each employee. Package: tryton-modules-purchase Description-md5: a2b1e198ba9a46e8e71ee2a094cde2b0 Description-en: Tryton application platform - purchase module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define purchase orders, to add product supplier and purchase information, and to define the purchase price as the supplier price or the cost price. . With the possibilities: . * to follow invoice and packing states from the purchase order. * to define invoice method: Manual, Based On Order, Based On Packing. Package: tryton-modules-purchase-amendment Description-md5: 61beb89cbdd003b3f3e1d1615864facf Description-en: Tryton application platform - purchase amendment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The purchase amendment module allows you to change purchases that are being processed and keep track of the changes. An amendment is composed of action lines which can: . * Recompute taxes (if the supplier tax rules or product taxes have changed) . * Change the payment term . * Change the party and the address . * Change the warehouse . * Change a purchase line: . * the product (for one with the same UoM category) . * the quantity and unit of measure . * the unit price . * the description . When the amendment is validated the purchase is updated and given a new revision. Generated documents (like moves and invoices) that are still in a draft state are replaced with new ones based on the new values. Package: tryton-modules-purchase-history Description-md5: aff534e3a37cd16e58a12bc198f84a52 Description-en: Tryton application platform - purchase history module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The purchase history module activates the historization of the purchase and adds a revision counter which increases each time the purchase is reset to draft. Package: tryton-modules-purchase-invoice-line-standalone Description-md5: e9595f262855a3a6d3be3bd1bf7ca7b0 Description-en: Tryton application platform - purchase invoice line standalone module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to change the behaviour of purchase orders to create standalone invoice lines instead of a complete invoice. This allows one to compose invoices with lines originating from different purchases. Package: tryton-modules-purchase-price-list Description-md5: 238aa0b2268285b3d462c87f050c207a Description-en: Tryton application platform - purchase price list module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Purchase Price List Module* allows price lists to be defined for suppliers. Package: tryton-modules-purchase-request Description-md5: e36846dda103fd6dffb5a4fc8069b6f8 Description-en: Tryton application platform - purchase request module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module introduces the central concept of Purchase Requests which are collecting purchase requests generated by other processes from Tryton. Package: tryton-modules-purchase-request-quotation Description-md5: 28b86c975faf231c2ce58a4645a753c1 Description-en: Tryton application platform - purchase request for quotation module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Purchase Request for Quotation module allows users to ask quotations from selected purchase requests to different suppliers. Each request will collect quotation information from the supplier. The selection of the quotation is done by taking either the preferred_quotation field if not empty otherwise the first one ordered from the received quotations. . Quotation ********* . - Supplier: The supplier. - Company: The company which issue the request quotation. - Supplier Address: The address of the supplier. - Lines: . - Product: An optional reference to the product to quote. - Description: The description of the product to quote. - Supply Date: The expected date to supply. - Quantity: The quantity to quote. - Unit: The unit of measure in which is expressed the quantity. - Unit Price: The unit price of the product expressed in the currency. - Currency: define the currency to use for this quotation. All product prices will be computed accordingly. . - State: The state of the quotation. May take one of the following values: *Draft*, *Sent*, *Received*, *Rejected*, *Cancelled*. Package: tryton-modules-purchase-requisition Description-md5: 471ead107b9d2142998f768f0a229dfc Description-en: Tryton application platform - purchase requisition module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Purchase Requisition module allows users to create their purchase requisitions. Those requisitions will be approved or rejected by the Approval group. On approval, purchase requests will be created. . Requisition *********** . - Employee: The requester. - Description: The description of the purchase requisition. - Supply Date: The expected date to supply. - Currency: define the currency to use for this requisition. All product prices will be computed accordingly. - Warehouse: Define the warehouse where the shipment will be made. - Purchase Requisition Lines: . - Supplier: The supplier. - Product: An optional reference to the product to request. - Description: The description of the product to request. - Quantity: The quantity to request. - Unit: The unit of measure in which is expressed the quantity. - Unit Price: The unit price of the product expressed in the currency of the purchase requisition. - Amount: The amount of the current line (Unit Price multiplied by Quantity). . - Total: The total amount. - State: The state of the purchase requisition. May take one of the following values: Draft, Waiting, Rejected, Processing, Done, Cancelled. - Company: The company which issue the purchase requisition. Package: tryton-modules-purchase-secondary-unit Description-md5: 68c255999397660f0926949c6c109865 Description-en: Tryton application platform - purchase secondary unit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The purchase secondary unit module adds a secondary unit of measure on purchase lines. The secondary quantity and unit price are kept synchronized with the quantity and unit price. The secondary unit is defined on the product supplier or on the product with its factor against the purchase unit. Package: tryton-modules-purchase-shipment-cost Description-md5: c4bdefaf6031ce299937a687c9c345be Description-en: Tryton application platform - purchase shipment cost module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of shipment costs for purchases. Package: tryton-modules-sale Description-md5: 026793fe4d58a5802d3092840098aa7d Description-en: Tryton application platform - sale module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to define sale orders, to add sale information to products, and to define the sale price as the list price. . With the possibilities: . * to follow invoice and shipment states from the sale order. * to define invoice method: Manual, On Order Confirmed, On Shipment Sent. * to define shipment method: Manual, On Order Confirmed, On Invoice Paid. Package: tryton-modules-sale-advance-payment Description-md5: c916db568f3da2b8478219dae13d9335 Description-en: Tryton application platform - sale advance payment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds support for advance payment management on the sale. . The Advance Payment Term defines how the shipment and the supply processes should be managed. Either process can be delayed until the advance payments are paid. Package: tryton-modules-sale-amendment Description-md5: ec908144fe03d299e2a2eee2d6ee93f5 Description-en: Tryton application platform - sale amendment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale amendment module allows you to change sales that are being processed and keep track of the changes. An amendment is composed of action lines which can: . * Recompute taxes (if the customer tax rules or product taxes have changed). . * Change the payment term . * Change parties and addresses . * Change the warehouse . * Change a sale line: . * the product (for one with the same UoM category) . * the quantity and unit of measure . * the unit price . * the description . When the amendment is validated the sale is updated and given a new revision. Generated documents (like shipments and invoices) that are still in a draft state are replaced with new ones based on the new values. Package: tryton-modules-sale-complaint Description-md5: 0eebf041cc995465bf2c00ffaf915c83 Description-en: Tryton application platform - sale complaint module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module handles customer complaints about a sale or an invoice and provides actions that can be taken to solve the complaint. Package: tryton-modules-sale-credit-limit Description-md5: 76d652667c08d3d8cac525a3fe8846a8 Description-en: Tryton application platform - sale credit limit Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to check the credit limit for parties when processing sales. Package: tryton-modules-sale-discount Description-md5: a54cb3bb4b4c6e9b0b2c078242678e5c Description-en: Tryton application platform - sale discount module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale_discount module adds discount on sale line. . Two discount fields are added to the sale line to define a discount as rate and as amount between a base price and the unit price. The base price is filled automatically with the list price of the product. Package: tryton-modules-sale-extra Description-md5: bc699bada9cd6788cb9e42002d6d64df Description-en: Tryton application platform - sale extra Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to add automatically extra lines on sales matching specified criteria defined on price lists. Package: tryton-modules-sale-gift-card Description-md5: 89f34e6b5ac35478fe03a479e3de4042 Description-en: Tryton application platform - sale gift card module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Sale Gift Card Module* manages the selling and redeeming of gift cards. Package: tryton-modules-sale-history Description-md5: cc596d066c0c51128057f79f0e8a60b5 Description-en: Tryton application platform - sale history module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale history module activates the historization of the sale and adds a revision counter which increases each time the sale is reset to draft. Package: tryton-modules-sale-invoice-grouping Description-md5: ed17433c324f276d27fc23a0140d07ac Description-en: Tryton application platform - sale invoice grouping module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds an option, that allows one to define how to group invoice lines generated from sales. Package: tryton-modules-sale-opportunity Description-md5: e8a817497c30c7fe670f5059def2d81e Description-en: Tryton application platform - sale opportunity module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds opportunities to sale orders. Package: tryton-modules-sale-payment Description-md5: a9d843568aa1b579aba77de7336f2c74 Description-en: Tryton application platform - sale payment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale_payment module extends *Sale* to allow payments prior to the creation of any invoice. . A field *Payments* is added on the sale which can be filled in quotation state. The sale can not be reset to draft or cancelled if there are no failed payment linked. . The payment authorization of the full sale amount is used as confirmation of the sale. . When an invoice from a sale is posted, its payments are used to pay invoice's *Lines to Pay*. Package: tryton-modules-sale-price-list Description-md5: bbf5213098ecfc3770e755d0340f8b18 Description-en: Tryton application platform - sale price list module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define price lists on parties and sale orders. Package: tryton-modules-sale-product-customer Description-md5: ba0a1e402e8a00e9e27ccd89a8600684 Description-en: Tryton application platform - sale product customer module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale_product_customer module defines customer's names and codes for products and/or variants. . A reference to the customer's product is added to the sale line. . Product Customer **************** . - Party: The customer. - Name: The customer's product name. - Code: The customer's product code. Package: tryton-modules-sale-promotion Description-md5: e2ba90aec2210e68dd81a31d25c1790f Description-en: Tryton application platform - sale promotion Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to define promotions for sales. Package: tryton-modules-sale-promotion-coupon Description-md5: ec4a48467e185e43f8faa7846ec7875f Description-en: Tryton application platform - sale promotion coupon module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale_promotion_coupon module adds coupon to the promotions. . A promotion with coupons will be applied only if a linked coupon has been added on the sale. . Promotion Coupon **************** . It defines the behaviours of a sets of coupon numbers for a promotion: . - *Name*: The name of the coupon. - *Promotion*: The promotion to which the coupon is attached. - *Number of Use*: How much time a coupon number can be used. - *Per Party*: How the usage is counted. - *Numbers*: The list of numbers which must be unique. Package: tryton-modules-sale-secondary-unit Description-md5: 6d344500e31c2e83673efe18e47cb8c7 Description-en: Tryton application platform - sale secondary unit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale secondary unit module adds a secondary unit of measure on sale lines. The secondary quantity and unit price are kept synchronized with the quantity and unit price. The secondary unit is defined on the product with its factor against the sale unit. . If the sale product customer module is activated, a specific secondary unit may be defined on the product customer. And it overrides the definition on the product. Package: tryton-modules-sale-shipment-cost Description-md5: 7846983f582f7e47eda3dbbea0bcbdb0 Description-en: Tryton application platform - sale shipment cost module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the handling of shipment costs for sales. Package: tryton-modules-sale-shipment-grouping Description-md5: daa379789160c722647844d51ec5f8eb Description-en: Tryton application platform - sale shipment grouping module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds the possibility to define how stock moves generated from sales will be grouped for shipping. Package: tryton-modules-sale-shipment-tolerance Description-md5: af78f2aa655973c553d34c66bd649ee9 Description-en: Tryton application platform - sale shipment tolerance module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale_shipment_tolerance modules adds under and over shipment tolerance on the sale. If the quantity of a sale line is under shipped but inside the tolerance percentage, then the line will be considered as fully shipped and no back-order will be created. If the quantity of a sale line is over shipped more than the tolerance percentage, then a warning is raised. Package: tryton-modules-sale-stock-quantity Description-md5: 39c23caef62117e7cabb6908a8612f8a Description-en: Tryton application platform - sale stock quantity Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides a check of the stock quantity of the products when quoting a sale. The check will warn the user if the forecast quantity at the sale date (and later dates until next supply) is lower than the quantity sold by taking into account other sales and the stock forecasts. Package: tryton-modules-sale-subscription Description-md5: 6d01df61dec8106d4f406ea78717af80 Description-en: Tryton application platform - sale subscription module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module defines subscriptions, services and recurrence rules for sales. . A subscription defines how recurring services are periodically invoiced. The invoice is based on the consumption of each service. Package: tryton-modules-sale-subscription-asset Description-md5: 8e2efa2f0a464be5b90d2790c1edf4ac Description-en: Tryton application platform - sale subscription asset module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The sale subscription asset module adds the notion of asset to the sale subscription module. . Two fields are added to the *Service*: . - *Lots*: All the lots that can be used when providing this service - *Available Lots*: A subset of the preceding field displaying the available lots . On the *Subscription Line*, it is possible to specify the lot to use. When the subscription will be running this field will become required for service with lots. . On the *Lot*, the field *Subscribed* will point to the line of the subscription currently using this lot. Package: tryton-modules-sale-supply Description-md5: 97af00520693d0d8aaaa8c746e2776cb Description-en: Tryton application platform - sale supply module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to generate purchase requests from sale orders regardless of stock level. It adds handling of customer shipments upon confirmation and receival of the purchased products. Package: tryton-modules-sale-supply-drop-shipment Description-md5: a20273d02bf92fe399fdb967b174a842 Description-en: Tryton application platform - sale supply drop shipment model Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides the possibility to handle drop shipments, that are used when products are sent directly from the supplier to the customer without going through the warehouse. Package: tryton-modules-sale-supply-production Description-md5: 64831219beda010b4f95a7af57bc1a61 Description-en: Tryton application platform - sale supply production module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Sale Supply Production module adds a "supply on sale" option to producible products. If checked, it will generate a production request for each sale line of this product regardless of the stock levels. Once the products are produced they are assigned to the customer shipments. If the production request is cancelled, the sale goes back to the default supply method. . Hint: If the shiment method is *On Invoice Paid*, the production request will be created only when all the invoice lines are paid. Package: tryton-modules-stock Description-md5: 55a08f37cdaa327b1cfec830e6b1e722 Description-en: Tryton application platform - stock module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds stock management and inventory control with: . * Location definition * Stock move * Supplier/Customer/Internal Shipment * Stock Inventory . And with reports: . * Pick List * Pack List * Delivery Note * Supplier Restocking List * Customer Return Restocking List * Internal Shipments * Products by Locations Package: tryton-modules-stock-assign-manual Description-md5: d7402d8efe6d4f734ee0068b57c9e6b7 Description-en: Tryton application platform - stock assign manual module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The Stock Assign Manual module adds a wizard on shipments and production that allows you to decide from which precise location to pick products. . Another wizard allows either the whole amount, or a specific quantity, to be unassigned of each move. Package: tryton-modules-stock-consignment Description-md5: 278b99f2b5758c3d88feb31ca12d5946 Description-en: Tryton application platform - stock consignment module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The stock consignment modules allow to manage consignment stock from supplier or at customer warehouse. . The consignment stock from supplier is managed by creating a supplier location under the company's warehouse storage. The location can be filled using an Internal Shipment from the external supplier location. The products are used also by using an Internal Shipment from the consignment location to a storage location. In this case, a supplier invoice line is created for the supplier defined on the location. . The consignment stock at customer warehouse is managed by creating a storage location under the customer location. The location can be filled using an Internal Shipment from a warehouse. It is possible to define a lead time between the warehouse and the storage location. The products are used also by using an Internal Shipment from the consignment location to a customer location. In this case, a customer invoice line is created for the customer defined on the location. . It is allowed to make inventory for those consignment locations. . A new field is added to Location: . - Consignment Party: The party invoiced when consignment is used. Package: tryton-modules-stock-forecast Description-md5: 9d22e2c3d4718a53697269ea21298f27 Description-en: Tryton application platform - stock forecast module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module for the "Forecast" model in Inventory Management. . The Forecast form allows one to define the expected stock movement towards customers in any period of time in the future. A wizard allows one to compute the expected quantities with respect to a period in the past. Once the form has been confirmed, the corresponding moves are created and spread homogeneously across the period. Those moves will allow other processes to take forecasts into account. Package: tryton-modules-stock-inventory-location Description-md5: 01126e005091661d7424fcfb0dc80c84 Description-en: Tryton application platform - stock inventory location module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module adding a wizard that allows one to create automatically inventories for a given list of locations. Package: tryton-modules-stock-location-move Description-md5: 6eac6dd928714021b1b3fe0ea8a21eb5 Description-en: Tryton application platform - stock location move module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The stock location move module allows to define some *Locations* as movable (like palette). . Such locations can be moved using an *Internal Shipment*. The parent location is changed for the destination location when the shipment is done. If there is a transit location, the locations will be first moved to this one when shipped. A reservation mechanism prevent to assign the same locations at the same time. . If a *Customer Shipment* or a *Supplier Return Shipment* empties a movable location, it will automatically deactivate it. Package: tryton-modules-stock-location-sequence Description-md5: a2ed6be10810a8d8317fa8811dc5f1dc Description-en: Tryton application platform - stock location sequence module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module adding a sequence on locations. Package: tryton-modules-stock-lot Description-md5: 83b1e73a06214a6048c07b2b536dd76c Description-en: Tryton application platform - stock lot module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module for lot management. . * A lot is by default just a number to tag moves of products. * It is possible to define per product, if the lot is required (per type of location). Package: tryton-modules-stock-lot-sled Description-md5: 7e27466f477dadc091793a64f3c25891 Description-en: Tryton application platform - stock lot shelf life expiration date Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module handles the following aspects on product lots: . * Shelf Live Expiration Date * Expiration Date Package: tryton-modules-stock-lot-unit Description-md5: 5b144b92a2e198f82824ecd83703c274 Description-en: Tryton application platform - stock lot unit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The `stock_lot_unit` module allows to define a unit and quantity on stock lot. . Lots with unit have the following properties: . - no shipment may contain a summed quantity for a lot greater than the quantity of the lot. - no move related to a lot with a unit may concern a quantity greater than the quantity of the lot. . The *Lot Unit* field is added to the product. This defines the unit to set on new lot. Package: tryton-modules-stock-package Description-md5: 95611d374deb523046ab5f60fae5f089 Description-en: Tryton application platform - stock package module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package allows one to store packaging information about outgoing shipments. Package: tryton-modules-stock-package-shipping Description-md5: 3565c37a9929ad7581b926922a16b6b5 Description-en: Tryton application platform - stock package shipping module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module is the base module required to interact with shipping service providers. Package: tryton-modules-stock-package-shipping-dpd Description-md5: 04483e8ecfaa9f35b07bc752d90fa5ad Description-en: Tryton application platform - stock package shipping dpd module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to generate the DPD label using DPD webservices. . Note: There exist different DPD APIs for different countries. This module currently supports only the API available at public-ws.dpd.com (mostly used in the Netherlands/Belgium). Please assure with your DPD subsidiary that you can use this API. Package: tryton-modules-stock-package-shipping-ups Description-md5: 4ae072f85f17a5bb643790ad8cbeccbb Description-en: Tryton application platform - stock package shipping ups module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module allows one to generate the UPS label using the UPS webservices. Package: tryton-modules-stock-product-location Description-md5: af0529d71152e972389e4b264d976fc2 Description-en: Tryton application platform - stock product location module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module to define default storage location by warehouse on products. Package: tryton-modules-stock-quantity-early-planning Description-md5: 4bcfe9e3b37be0fc21e06e7edafc4578 Description-en: Tryton application platform - stock quantity early planning module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Stock Quantity Early Planning Module* helps reducing stock level by proposing to consume earlier. Package: tryton-modules-stock-quantity-issue Description-md5: eba0746abbc9906d292dee2860540e03 Description-en: Tryton application platform - stock quantity issue module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The *Stock Quantity Issue Module* helps to solve stock quantity issues. Package: tryton-modules-stock-secondary-unit Description-md5: 1fc464d37d87e795e13ef91abe68a05b Description-en: Tryton application platform - stock secondary unit module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The stock secondary unit module adds a secondary unit of measure on the stock move. Package: tryton-modules-stock-shipment-cost Description-md5: 60f5a2d98330db01f2e13cdc18aba8e0 Description-en: Tryton application platform - stock shipment cost module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds shipment costs on the outgoing moves. These costs are added to the product margin reports. Package: tryton-modules-stock-shipment-measurements Description-md5: 1817ffdfd4ff61ec10d3c5c69590aa03 Description-en: Tryton application platform - stock shipment measurements module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds weight and volume on shipments and packages. Package: tryton-modules-stock-split Description-md5: 465f4f3a6ddc38523130b8577313be2c Description-en: Tryton application platform - stock split module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds a wizzard on the stock move, that allows one to split it. Package: tryton-modules-stock-supply Description-md5: 4fbe8d55ffc6eedf85692c0dd167a02d Description-en: Tryton application platform - stock supply module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module for supply management with: . * Order points * Purchase Requests . Providing scheduler tasks: . * to generate purchase requests based on order points. * to generate internal shipments based on order points. Package: tryton-modules-stock-supply-day Description-md5: 1f6223602ad0669272f1516081ac043c Description-en: Tryton application platform - stock supply day module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module for supply management to define the delivery days of the week by suppliers. Package: tryton-modules-stock-supply-forecast Description-md5: de37ce1233c52e04023f3f58c135b019 Description-en: Tryton application platform - stock supply forecast module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains the module for supply forecast management. . * This glue module must be installed, if both modules stock-supply and stock-forecast are installed. Package: tryton-modules-stock-supply-production Description-md5: 835c33c865b21abf9cc01aa74afd5ab7 Description-en: Tryton application platform - stock supply production module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package adds automatic supply mechanisms via production requests. . * It adds a new type of Order Point: Production * The production requests are created by the schedulers with respect to stock levels and existing requests. Package: tryton-modules-timesheet Description-md5: 74bba7e1c66f492d30e8bfbd37599661 Description-en: Tryton application platform - timesheet module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define timesheets with work and timesheet lines. It contains reports for hours per work, hours per employee per week, hours per employee per month. Package: tryton-modules-timesheet-cost Description-md5: c674e10a1118f7b04b4f2c2df2fbdb2a Description-en: Tryton application platform - timesheet cost module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module adds the possibility to define costs for employees on timesheets. Package: tryton-modules-user-role Description-md5: e9635971b50edca7138658ddf5eb710c Description-en: Tryton application platform - user role module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The user_role module allows to assign roles to user instead of groups. A *Role* is defined by a set of groups. When a role is added to a user, it overrides the existing groups. A role can be added to a user for a period. Package: tryton-modules-web-shop Description-md5: c36a6e04756d7ad0ae47295f796253df Description-en: Tryton application platform - web shop module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The web_shop module provides facilities to store configuration of online web shop. Package: tryton-modules-web-shop-vue-storefront Description-md5: 965de1b720a484f19b1371e72cb5ebf3 Description-en: Tryton application platform - web vue storefront module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The web_shop_vue_storefront module provides the back-end to integrate with `Vue Storefront`_ 1.x. . .. _`Vue Storefront`: https://www.vuestorefront.io/ . Vue StoreFront Configuration . The endpoint must be updated to use the Tryton URL. You must just replace `/api` by `http(s)://://web_shop_vue_storefront/`. . The configuration `autoRefreshTokens` must be set to `false`. Package: tryton-modules-web-shop-vue-storefront-stripe Description-md5: 83b654d5819efaf263a5e75e9acbb232 Description-en: Tryton application platform - web shop vue storefront stripe module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The web_shop_vue_storefront_stripe module provides support of `Stripe payment for Vue Storefront` integration. . https://github.com/develodesign/vsf-payment-stripe Package: tryton-modules-web-shortener Description-md5: 985e0a989e0884cd1e86ce95b27f0691 Description-en: Tryton application platform - web shortener module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The web_shortener module allows URLs to be shortened. It counts the number of times the URL is accessed and optionally triggers action. . The module defines a route `/s/` which will redirect the queries to the URL registered previously with `ShortenedURL.get_url`. . Models that need to be callable from a shortened URL must define the method `shortened_url_execute`. This class method will be called from the underlying queue with the record and the keywords arguments transmitted when calling `get_url`. . Shortened URL ************* . - Shortened URL: The shortened URL - Redirect URL: The URL the request is redirected to - Record: The record on which `method` will be executed - Method: The name of the method to call on `record` - Count: The number of times this shortened URL has been triggered . Configuration ************* . The web_shortener modules uses the parameter from the section: . - `[web]`: . - `shortener_base`: The base URL without path for shortened URL. The default value is composed with the configuration `[web]` `hostname`. Package: tryton-modules-web-user Description-md5: ae34d8055f1bc9653cf4debc2f1d586b Description-en: Tryton application platform - web user module Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This module provides facilities to manage external users accessing from the web. Package: tryton-proteus Description-md5: f5321c8091c533688c2cb509e2ac428e Description-en: Tryton application platform - scripting client Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package contains Proteus, a library to access the models, wizards and reports of a Tryton server like a client by connecting to a Tryton server via XML-RPC or by using trytond as a module. Package: tryton-sao Description-md5: e21bcc92cad3abd9f738228c831b1885 Description-en: Tryton application platform - web client Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package provides the web client running on top of the server. Package: tryton-server Description-md5: 6c3c256825d9516b024ea9a12a0ba6f3 Description-en: Tryton application platform - server Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). It is written in Python and uses PostgreSQL as its preferred database engine. . This package contains the server. Package: tryton-server-all-in-one Description-md5: 5f2ea964c69c7e66afcb6930c34ff829 Description-en: Tryton application platform - full installation Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . This package offers the complete guided production grade setup of a Tryton server installation. It provides: * guided setup of the PostgreSQL database; * guided setup of a uWSGI backend; * guided setup of an Nginx frontend with the optional registration and setup of Let's Encrypt certificates; * a preconfigured PostgreSQL database prefilled with static data such as countries, currencies, and postal codes ready for use. Package: tryton-server-doc Description-md5: 632127050496757984205bb7b60b241d Description-en: Tryton application platform - server documentation Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). It is written in Python and uses PostgreSQL as its preferred database engine. . This package contains the documentation of the server in HTML format. Package: tryton-server-nginx Description-md5: 813d1ee6392854751801c77efec61a94 Description-en: Tryton application platform - Nginx integration Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . While the uWSGI server as WSGI backend offers the possibility of serving HTTP content it is still preferable to expose the content produced by the Tryton server via HTTPS with a dedicated web frontend like Nginx on top of a robust WSGI application. Nginx has decent default security settings, can talk to WSGI applications by the native WSGI protocol, and also offers features like caching of dynamic content, load balancing, and serving static resources. . This package provides the integration of tryton-server-uwsgi with Nginx. Package: tryton-server-postgresql Description-md5: 60ecb032bdb59672dca42fad6be043d9 Description-en: Tryton application platform - PostgreSQL integration Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . The default database backend of the Tryton server is SQLite3, but for production use PostgreSQL is the preferred and recommended database engine. . This package provides the integration of tryton-server with PostgreSQL. Package: tryton-server-uwsgi Description-md5: f82ac075ced6998aa05ac66d35bdf0b3 Description-en: Tryton application platform - uWSGI integration Tryton is a high-level general purpose application platform. It is the base of a complete business solution as well as a comprehensive health and hospital information system (GNUHealth). . For production use it is recommended to run the Tryton server under a WSGI backend instead of the simple Werkzeug development server. . This package provides the integration of tryton-server with the uWSGI backend. It offers also the possibility of configuring and running workers and/or the scheduler as uWSGI daemons. . The Tryton server can be accessed by the uWSGI and/or HTTP protocols. For small sites that are not exposed to the Internet this may already cover your needs; otherwise have a look at the package tryton-server-nginx to run the uWSGI server behind a dedicated reverse proxy. Package: ubuntu-core-launcher Description-md5: 3223c275ff89224fda1b277eec5e7ffe Description-en: Transitional package for snapd This is a transitional dummy package. It can safely be removed. Package: ubuntu-core-snapd-units Description-md5: 1f317b04f78374dba7d1e14def0b7f80 Description-en: transitional dummy package This is a transitional dummy package. It can safely be removed. Package: ubuntu-snappy Description-md5: 1f317b04f78374dba7d1e14def0b7f80 Description-en: transitional dummy package This is a transitional dummy package. It can safely be removed. Package: ubuntu-snappy-cli Description-md5: 1f317b04f78374dba7d1e14def0b7f80 Description-en: transitional dummy package This is a transitional dummy package. It can safely be removed. Package: udav Description-md5: 41de96def3fd7f213494f10cd0198de7 Description-en: library for scientific graphs (window interface) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package contains the udav window environment based on mathgl. Package: umap-learn Description-md5: 949d5d3304fc30065a0bd753c8886c71 Description-en: Uniform Manifold Approximation and Projection Uniform Manifold Approximation and Projection (UMAP) is a dimension reduction technique that can be used for visualisation similarly to t- SNE, but also for general non-linear dimension reduction. The algorithm is founded on three assumptions about the data: . 1. The data is uniformly distributed on a Riemannian manifold; 2. The Riemannian metric is locally constant (or can be approximated as such); 3. The manifold is locally connected. . From these assumptions it is possible to model the manifold with a fuzzy topological structure. The embedding is found by searching for a low dimensional projection of the data that has the closest possible equivalent fuzzy topological structure. Package: utrans Description-md5: b174dbb7fe1248c4ddcddc8bf5545582 Description-en: Translator for systemd units low level utilities to convert systemd units to generic unix equivalents. . By default openrc, cron and xinetd are supported. Alternative output backends can be loaded at runtime. Available alternative backends: inetd, LSB-style initscripts (as used by sysv-rc, runit, etc.). Package: utrans-rc Description-md5: d4d6c73209d91f8b0479198738b3e8c6 Description-en: Generate generic unix system configuration from systemd units includes utrans-rc, a wrapper around utrans to convert systemd units to generic unix configuration files. The generated files are installed and activated using sudo and ucf whilst preserving user modifications and avoiding overwriting files belonging to other packages. . In addition to manual invocation of the utrans-rc script, a dpkg trigger is also installed to automatically detect and handle units which have been newly (un)installed by other packages. Package: valentina Description-md5: d1f6828fe9ae1850efe03b8497b0a811 Description-en: pattern making program Valentina is a pattern drafting software tool for small-batch and custom-sized clothing manufacturing. . Valentina allows designers to create and model patterns of clothing, using either standard sizing tables or an individual’s set of measurements. It blends new technologies with traditional methods to create a unique pattern making tool. . The "tape" executable is provided as "valentina-tape", and the "puzzle" executable is provided as "valentina-puzzle". Package: valentina-l10n Description-md5: 0989bbfb518e26b0a8f84c64384bf969 Description-en: pattern making program - locale data Valentina is a pattern drafting software tool for small-batch and custom-sized clothing manufacturing. . Valentina allows designers to create and model patterns of clothing, using either standard sizing tables or an individual’s set of measurements. It blends new technologies with traditional methods to create a unique pattern making tool. . This package provides locale data for Valentina. Package: varnish Description-md5: ebbadfc1a0485abd58ba563250510a25 Description-en: state of the art, high-performance web accelerator Varnish Cache is a state of the art web accelerator written with performance and flexibility in mind. . Varnish Cache stores web pages in memory so web servers don't have to create the same web page over and over again. Varnish serves pages much faster than any application server; giving the website a significant speed up. . Some of the features include: * A modern design * VCL - a very flexible configuration language * Load balancing with health checking of backends * Partial support for ESI - Edge Side Includes * URL rewriting * Graceful handling of "dead" backends Package: varnish-doc Description-md5: f8286c594d80b32f748ffdd119cbac2f Description-en: documentation for Varnish Cache This package contains HTML documentation for Varnish Cache. Package: varnish-re2 Description-md5: dab53383ec1f2d8d2c085d5fb06736f1 Description-en: RE2 regular expressions for Varnish Varnish Module (VMOD) for access to the Google RE2 regular expression engine. Varnish VCL uses the PCRE library (Perl Compatible Regular Expressions) for its native regular expressions, which runs very efficiently for many common uses of pattern matching in VCL, as attested by years of successful use of PCRE with Varnish. . But for certain kinds of patterns, the worst-case running time of the PCRE matcher is exponential in the length of the string to be matched. The matcher uses backtracking, implemented with recursive calls to the internal match() function. In principle there is no upper bound to the possible depth of backtracking and recursion, except as imposed by the varnishd runtime parameters pcre_match_limit and pcre_match_limit_recursion; matches fail if either of these limits are met. Stack overflow caused by deep backtracking has occasionally been the subject of varnishd issues. . RE2 differs from PCRE in that it limits the syntax of patterns so that they always specify a regular language in the formally strict sense. Most notably, backreferences within a pattern are not permitted, for example (foo|bar)\1 to match foofoo and barbar, but not foobar or barfoo. See the link in SEE ALSO for the specification of RE2 syntax. . This means that an RE2 matcher runs as a finite automaton, which guarantees linear running time in the length of the matched string. There is no backtracking, and hence no risk of deep recursion or stack overflow. Package: varnish-redis Description-md5: 5c3d4f4de9d2199b0073b6bf4d0ec917 Description-en: access Redis servers from VCL VMOD using the synchronous hiredis library API to access Redis servers from VCL. . Highlights: * Full support for execution of Lua scripts (i.e. EVAL command), including optimistic automatic execution of EVALSHA commands. * All Redis reply data types are supported, including partial support to access to components of simple (i.e. not nested) array replies. * Redis pipelines are not (and won't be) supported. Lua scripting, which is fully supported by the VMOD, it's a much more flexible alternative to pipelines for atomic execution and minimizing latency. Pipelines are hard to use and error prone, specially when using the WATCH command. * Support for classic Redis deployments using multiple replicated Redis servers and for clustered deployments based on Redis Cluster. * Support for multiple databases and multiple Redis connections, local to each Varnish worker thread, or shared using one or more pools. * Support for smart command execution, selecting the destination server according with the preferred role (i.e. master or slave) and with distance and healthiness metrics collected during execution. * Support for Redis Sentinel, allowing automatic discovery of sick / healthy servers and changes in their roles. Package: varnish-selector Description-md5: 6ae2aa1126cf8b03d8f801d1ae088c25 Description-en: match strings with backends, regexen and other strings Varnish Module (VMOD) for matching strings against sets of fixed strings. A VMOD object may also function as an associative array, mapping the matched string to one or more of a backend, another string, an integer, or a regular expression. The string may also map to a subroutine that can be invoked. . The VMOD is intended to support a variety of use cases that are typical for VCL deployments, such as: . * Determining the backend based on the Host header or the prefix of the URL. * Rewriting the URL or a header. * Generating redirect responses, based on a header or the URL. * Permitting or rejecting request methods. * Matching the Basic Authentication credentials in an Authorization request header. * Matching media types in the Content-Type header of a backend response to determine if the content is compressible. * Accessing data by string match, as in an associative array, or by numeric index, as in a standard array. * Dispatching subroutine calls based on string matches. * Executing conditional logic that depends on features of the request or response that can be determined by matching headers or URLs. . Operations such as these are commonly implemented in native VCL with an if-elsif-elsif sequence of string comparisons or regex matches. As the number of matches increases, such a sequence becomes cumbersome and scales poorly -- the time needed to execute the sequence increases with the number of matches to be performed. With the VMOD, the strings to be matched are declared in a tabular form in vcl_init, and the operation is executed in a few lines. Package: varnish-vmod-digest Description-md5: f266ca074c47002ede878babaacddf50 Description-en: Varnish digest module Varnish module (vmod) for computing HMAC, message digests and working with base64 from VCL. Package: vim-athena Description-md5: 215b4520fd9a69b317132881ce593b7d Description-en: Vi IMproved - enhanced vi editor (dummy package) This is a transitional package to install the vim-motif package. You may remove this package if nothing depends on it. Package: vim-gtk3 Description-md5: 55a9161bf3dec9e8a999586c8e73467a Description-en: Vi IMproved - enhanced vi editor - with GTK3 GUI Vim is an almost compatible version of the UNIX editor Vi. . Many new features have been added: multi level undo, syntax highlighting, command line history, on-line help, filename completion, block operations, folding, Unicode support, etc. . This package contains a version of vim compiled with a GTK3 GUI and support for scripting with Lua, Perl, Python 3, Ruby, and Tcl. Package: vim-gui-common Description-md5: f51060aa71b1aeaa83cfde145ca640c3 Description-en: Vi IMproved - Common GUI files Vim is an almost compatible version of the UNIX editor Vi. . This package contains files shared by all GUI-enabled vim variants available in Debian. Examples of such shared files are: gvimtutor, icons, and desktop environments settings. Package: vim-motif Description-md5: 0d24b1f25ebcc42266fd1abc532adc46 Description-en: Vi IMproved - enhanced vi editor - with Motif GUI Vim is an almost compatible version of the UNIX editor Vi. . Many new features have been added: multi level undo, syntax highlighting, command line history, on-line help, filename completion, block operations, folding, Unicode support, etc. . This package contains a version of vim compiled with a Motif GUI and support for scripting with Lua, Perl, Python 3, and Tcl. Package: vim-nox Description-md5: f840d0104436408f409898adc9a43d8c Description-en: Vi IMproved - enhanced vi editor - with scripting languages support Vim is an almost compatible version of the UNIX editor Vi. . Many new features have been added: multi level undo, syntax highlighting, command line history, on-line help, filename completion, block operations, folding, Unicode support, etc. . This package contains a version of vim compiled with support for scripting with Lua, Perl, Python 3, Ruby, and Tcl but no GUI. Package: vtk9 Description-md5: 97517e1d4ecc516b79afb8607e8cdfcf Description-en: Binaries for VTK9 The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides binaries for VTK9 Package: vtk9-doc Description-md5: dd123d65dad2d08b6a569e157c3ecb25 Description-en: VTK class reference documentation The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides documentation for VTK9 Package: vtk9-examples Description-md5: d8cc7f7324dc5e8cc38eb89538abd18c Description-en: VTK examples The Visualization Toolkit (VTK) is an open-source software system for 3D computer graphics, image processing, and visualization. . This package provides examples for VTK9 Package: webext-folder-account Description-md5: b353edda22e4c8a0f2f77338cd009223 Description-en: Managing folder accounts Lets you associate user accounts and identities with specific folders. Great for multiple users who share TB, or for managing mailing lists. Package: webkit2gtk-driver Description-md5: 5dc03244937a41a268d3b7212f728f62 Description-en: WebKitGTK WebDriver support (transitional dummy package) This is a transitional dummy package, it can be safely removed. Package: webkitgtk-webdriver Description-md5: 088bf78d76ece6d47a2cd75d9dee0fbe Description-en: WebKitGTK WebDriver support WebKit is a web content engine, derived from KHTML and KJS from KDE, and used primarily in Apple's Safari browser. It is made to be embedded in other applications, such as mail readers, or web browsers. . It is able to display content such as HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest, XSLT, CSS, JavaScript/ECMAScript and more. . WebKitGTK is a WebKit port designed to be used in GTK applications. . This package provides the WebDriver service implementation for WebKitGTK. Package: weston Description-md5: a6199b5b4d9e6a3de92c2ec580664e79 Description-en: reference implementation of a wayland compositor Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. Package: weston-dev Description-md5: e663a6ef6b17a82dcd798ca9f8939255 Description-en: reference implementation of a wayland compositor (headers) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the weston headers for plugin development. Package: wget2 Description-md5: 645e0d0ba9e191f2d558315b17fd49ef Description-en: file and recursive website downloader GNU Wget is a network utility to retrieve files from the web using HTTP(S) and FTP, the two most widely used internet protocols. It works non-interactively, so it will work in the background, after having logged off. The program supports recursive retrieval of web-authoring pages as well as FTP sites -- you can use Wget to make mirrors of archives and home pages or to travel the web like a WWW robot. . Wget works particularly well with slow or unstable connections by continuing to retrieve a document until the document is fully downloaded. Re-getting files from where it left off works on servers (both HTTP and FTP) that support it. Both HTTP and FTP retrievals can be time stamped, so Wget can see if the remote file has changed since the last retrieval and automatically retrieve the new version if it has. . Wget supports proxy servers; this can lighten the network load, speed up retrieval, and provide access behind firewalls. . GNU Wget2 is the successor of GNU Wget. Package: wget2-dev Description-md5: ab53f7111f4a8eadf8b768290acf24ef Description-en: development file for libwget2t64 GNU Wget is a network utility to retrieve files from the web using HTTP(S) and FTP, the two most widely used internet protocols. It works non-interactively, so it will work in the background, after having logged off. The program supports recursive retrieval of web-authoring pages as well as FTP sites -- you can use Wget to make mirrors of archives and home pages or to travel the web like a WWW robot. . These are the development files to build software with libwget. Package: wims Description-md5: 0d669d4703fcf31fdf92745b0aaaf7b6 Description-en: server for educational content: courses, exercises, and exams The WWW Interactive Multipurpose Server is an educational platform originally developed for mathematics but now also supporting subjects such as physics, chemistry, biology, and languages. . WIMS features a rich set of resources and exercises either for autodidactical use or for training classes, including: . * exercises with automatic feedback and correction, allowing the learners to work at their own pace; * interactive exercises with randomly assigned data, allowing the learners to work on the same type of exercise but with different data and as often as they wish; * exercises with an automatic scoring system, which enhances learner motivation; * user-friendly graphics and calculation tools; * courses and documents with hyperlinks to the exercise pool; examples in the courses can be made random, so the student can get more than one instance of an illustration for tricky concepts; * support for exams with pre-published contents. As each individual exam will have randomly chosen data, training is possible but writing each response in advance is not. . This package contains the WIMS FastCGI server, serving Open Exercise Format (OEF) documents. OEF is a very high-level language which allows authors to focus on pedagogical issues. Package: wims-modules Description-md5: 723e95137419b5489fb54838a385106c Description-en: minimal set of modules used by WIMS WIMS uses modules to implement every user interface beyond its main page, including administrative tasks, help, mathematic tools, libraries, and a few interactive exercises. . To get the thousands of exercises which give WIMS its power, consider installing the recommended package wims-extra-all (or other packages which provide wims-extra). . Other educational materials can be downloaded outside of the Debian system by automated updates. See http://wimsedu.info Package: wmaker Description-md5: 781f2c4a40ddc49782034e8c4df71f98 Description-en: NeXTSTEP-like window manager for X Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look very closely, and it is now an official GNU project. Window Maker (originally named WindowMaker) is not overloaded with features, and it is easier to configure than most other window managers. Its final goal is to produce a window manager that doesn't require editing of configuration files. Window Maker is fast and doesn't require tons of memory to run. Package: wmaker-common Description-md5: f3cf3e4e2c0dddd99432dce9a9df9c48 Description-en: Window Maker - Architecture independent files Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look very closely, and it is now an official GNU project. Window Maker (originally named WindowMaker) is not overloaded with features, and it is easier to configure than most other window managers. Its final goal is to produce a window manager that doesn't require editing of configuration files. Window Maker is fast and doesn't require tons of memory to run. . This package contains the architecture independent files. Package: wmaker-utils Description-md5: 22e211c8cab9bf58239e50d9a19225d1 Description-en: Window Maker - Utilities Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look very closely, and it is now an official GNU project. Window Maker (originally named WindowMaker) is not overloaded with features, and it is easier to configure than most other window managers. Its final goal is to produce a window manager that doesn't require editing of configuration files. Window Maker is fast and doesn't require tons of memory to run. . This package contains wxcopy and wxpaste, two utilities ordinarily shipped with Window Maker but not depending on it or any of its libraries. These utilities allow users to interact with cut buffers on the command line. Package: wp2latex Description-md5: d28715ce7230a6e60fa33646eb824ebe Description-en: Conversion of WordPerfect documents to LaTeX: the converter WP2LaTeX is a program for conversion WordPerfect documents to LaTeX. All known WP fileformats are supported: MAC WP1.x, WP2,3,4.x, PC WP WP3.x, WP4.x, WP5.x and WP6,7,8,9,10.x. It is possible to convert a lot of features. For example: Centered+Right+Left text, Endnotes, Formulas, Footers, Footnotes, Headers, Indentings, Tables, a lot of Extended characters (Greek, math, cyrilic) and of course a normal text. . This is the pure wp2latex converter to convert WPerfect files. Package: wp2latex-styles Description-md5: 9702c6506cb063093d9f1ab04d1c6c77 Description-en: Conversion of WordPerfect documents to LaTeX: the LaTeX style files WP2LaTeX is a program for conversion WordPerfect documents to LaTeX. All known WP fileformats are supported: MAC WP1.x, WP2,3,4.x, PC WP WP3.x, WP4.x, WP5.x and WP6,7,8,9,10.x. It is possible to convert a lot of features. For example: Centered+Right+Left text, Endnotes, Formulas, Footers, Footnotes, Headers, Indentings, Tables, a lot of Extended characters (Greek, math, cyrilic) and of course a normal text. . These are the style files to compile LaTeX files created by wp2latex. Package: xmonad Description-md5: a827bdbc621fa78a6624eb347265b935 Description-en: Lightweight X11 window manager written in Haskell Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package comes pre-configured with the default configuration. If you want to build your custom-configured version, make sure that libghc-xmonad-dev is installed and put your configuration in ~/.xmonad/xmonad.hs Package: xmrig Description-md5: ab46fc9d7fb833438068dca1fb04b18b Description-en: High performance, open source CPU/GPU miner and RandomX benchmark XMRig is a high performance, open source, cross platform RandomX, KawPow, CryptoNight, and GhostRider unified CPU/GPU miner and RandomX benchmark. Package: xrdp Description-md5: 330bdf2ad3e2031a5164fd15aa25e2e9 Description-en: Remote Desktop Protocol (RDP) server xrdp offers a graphical login to a remote client using RDP (the Remote Desktop Protocol). xrdp can connect to a locally created X.org session with the xorgxrdp drivers, to a VNC X11 server, and forward to another RDP server. . xrdp accepts connections from freerdp, rdesktop, and the built-in terminal server / remote desktop clients of Microsoft Windows operating systems. In the xorgxrdp (which replaces X11RDP) and VNC modes, it provides a fully functional Linux terminal server, offering an X-Window desktop to the user. In the RDP or VNC forwarding mode, any sort of desktop can be used. Package: xsdata Description-md5: 344acd3734f4cbe6e31df80a12f90fbe Description-en: Naive XML Bindings for Python xsData is a complete XML data binding library for Python allowing developers to access and use XML documents as simple objects rather than using DOM. . It ships with a code generator for XML Schema definitions and WSDL 1.1 with SOAP 1.1 bindings. It produces simple dataclasses with type hints that don't depend on the library itself in order to reduce the learning curve for users that have to write the binding models manually. . The included xml parser and serializer are highly optimized and adaptable with handlers based on native Python and lxml. The parser configuration offers the ability to skip unknown properties and to process xinclude statements. . xsData is constantly tested against the W3C XML Schema 1.1 test suite. Package: xzdec Description-md5: 30227ffce3f42144b3b624776f996bbf Description-en: XZ-format compression utilities - tiny decompressors XZ is the successor to the Lempel-Ziv/Markov-chain Algorithm compression format, which provides memory-hungry but powerful compression (often better than bzip2) and fast, easy decompression. . This package provides the xzdec and lzmadec utilities, which write the decompressed version of a compressed file to standard output. The binaries are very small, so they can be easily stored on small media with some compressed files, and they are linked statically against liblzma so they can be used on machines without a compatible version of liblzma installed. However, they have: . * no compression support; * no support for writing to a file other than standard output; * no translated messages; * been optimized for size rather than speed. . For a full-featured xzcat command without these limitations, use the xz-utils package instead. Package: yorick Description-md5: b513b8d5ee0f47690595619a758fcf95 Description-en: interpreted language and scientific graphics Yorick is an interpreted programming language for: * scientific simulations or calculations * postprocessing or steering large simulation codes * interactive scientific graphics * reading, writing, and translating large files of numbers . The language features a compact syntax for many common array operations, so it processes large arrays of numbers very quickly and efficiently. Superficially, yorick code resembles C code, but yorick variables are never explicitly declared and have a dynamic scoping similar to many Lisp dialects. The yorick language is designed to be typed interactively at a keyboard, as well as stored in files for later use. . This package includes an emacs-based development environment, which you can launch by typing M-x yorick in emacs. . Many add-on packages and plug-ins are available for Yorick (the package names begin with "yorick-"). You may want to install the yorick-full metapackage which depends on the vast majority of them. Package: yorick-data Description-md5: f85aa162f875333b01f196c5b148db19 Description-en: interpreted library for the Yorick language Yorick is an interpreted programming language for: * scientific simulations or calculations * postprocessing or steering large simulation codes * interactive scientific graphics * reading, writing, and translating large files of numbers . The yorick-data package contains all the architecture-independent data, which is mostly the standard interpreted library. Package: yorick-dev Description-md5: 47b2cc996f40b628ba977b2a1c16c7d1 Description-en: development files for the Yorick interpreted language Yorick is an interpreted programming language for: * scientific simulations or calculations * postprocessing or steering large simulation codes * interactive scientific graphics * reading, writing, and translating large files of numbers . The yorick-dev package contains the files needed to compile (or package) plug-ins or custom `yorick' executables. Package: yorick-doc Description-md5: d3adc0786e68e37cba87b35fa074316b Description-en: documentation for the Yorick interpreted language Yorick is an interpreted programming language for: * scientific simulations or calculations * postprocessing or steering large simulation codes * interactive scientific graphics * reading, writing, and translating large files of numbers . The Yorick package ships with little documentation: a manpage, the Yorick Manual in info format, and its own "help" command. This package adds the Yorick Manual and Quick Reference in PDF and HTML format and a utility (update-yorickdoc) to build HTML documentation for the set of functions (from Yorick and add-on packages) installed on this machine. By default, these pages are built and updated automatically whenever necessary. . Once this package is installed, simply point your preferred web browser to file:///usr/share/doc/yorick-doc/README.html . Package: yorick-gyoto Description-md5: 6c114bf5237bfd11e89d2408d5f81423 Description-en: General relativistic geodesic integration for the Yorick language Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. . This package provides a plug-in for the Yorick programming language exposing the Gyoto facilities. It allows using Gyoto interactively from the yorick prompt or running complex Gyoto scripts. Package: yt-dlp Description-md5: 158d9a53d2c66e4ec24f3371cbc819b9 Description-en: downloader of videos from YouTube and other sites yt-dlp is a youtube-dl fork based on the now inactive youtube-dlc. The main focus of this project is adding new features and patches while also keeping up to date with the original project. . yt-dlp is a small command-line program to download videos from YouTube.com and other sites that don't provide direct links to the videos served. . yt-dlp allows the user, among other things, to choose a specific video quality to download (if available) or let the program automatically determine the best (or worst) quality video to grab. It supports downloading entire playlists and all videos from a given user. . Currently supported sites (or features of sites) are: . 17live, 1News, 1tv, 20min, 23video, 247sports, 24tv.ua, 3qsdn, 3sat, 4tube, 56.com, 6play, 7plus, 8tracks, 9c9media, 9gag, 9News, 9now.com.au, abc.net.au, abcnews, abcotvs, AbemaTV, AbemaTVTitle, acast, AcFunBangumi, AcFunVideo, ADN, ADNSeason, AdobeConnect, adobetv, AdultSwim, aenetworks, AeonCo, AirTV, AitubeKZVideo, AliExpressLive, AlJazeera, Allocine, Allstar, AllstarProfile, AlphaPorno, Alsace20TV, Alsace20TVEmbed, altcensored, Alura, AluraCourse, AmadeusTV, Amara, AmazonMiniTV, AmazonReviews, AmazonStore, AMCNetworks, AmericasTestKitchen, AmericasTestKitchenSeason, AmHistoryChannel, AnchorFMEpisode, anderetijden, Angel, AnimalPlanet, Anvato, aol.com, APA, Aparat, AppleConnect, AppleDaily, ApplePodcasts, appletrailers, archive.org, ArcPublishing, ARD, ARDMediathek, ARDMediathekCollection, Arkena, Art19, Art19Show, arte.sky.it, ArteTV, ArteTVCategory, ArteTVEmbed, ArteTVPlaylist, asobichannel, AsobiStage, AtresPlayer, AtScaleConfEvent, ATVAt, AudiMedia, AudioBoom, audiomack, Audius, AWAAN, axs.tv, AZMedien, BaiduVideo, BanBye, BanByeChannel, bandaichannel, Bandcamp, Bandlab, BandlabPlaylist, BannedVideo, bbc, bbc.co.uk, BBVTV, BBVTVLive, BBVTVRecordings, BeaconTv, BeatBumpPlaylist, BeatBumpVideo, Beatport, Beeg, BehindKink, Bellator, BellMedia, BerufeTV, Bet, bfmtv, Bigflix, Bigo, Bild, BiliBili, Bilibili category extractor, BilibiliAudio, BilibiliAudioAlbum, BiliBiliBangumi, BiliBiliBangumiMedia, BiliBiliBangumiSeason, BilibiliCheese, BilibiliCheeseSeason, BilibiliCollectionList, BilibiliFavoritesList, BiliBiliPlayer, BilibiliPlaylist, BiliBiliSearch, BilibiliSeriesList, BilibiliSpaceAudio, BilibiliSpaceVideo, BilibiliWatchlater, BiliIntl, BiliLive, BioBioChileTV, Biography, BitChute, BitChuteChannel, BlackboardCollaborate, BleacherReport, BleacherReportCMS, blerp, blogger.com, Bloomberg, Bluesky, BokeCC, BongaCams, Boosty, BostonGlobe, Box, BoxCastVideo, Bpb, BR, BrainPOP, BrainPOPELL, BrainPOPEsp, BrainPOPFr, BrainPOPIl, BrainPOPJr, BravoTV, BreitBart, Bundesliga, Bundestag, BusinessInsider, BuzzFeed, BYUtv, CaffeineTV, Callin, Caltrans, CAM4, Camdemy, CamdemyFolder, CamFMEpisode, CamFMShow, CamModels, Camsoda, CamtasiaEmbed, Canal1, CanalAlpha, canalc2.tv, Canalplus, CaracolTvPlay, CartoonNetwork, cbc.ca, CBS, CBSLocal, CBSLocalArticle, CBSLocalLive, cbsnews, cbssports, CCMA, CCTV, CDA, CDAFolder, Cellebrite, CeskaTelevize, CGTN, CharlieRose, Chaturbate, Chilloutzone, cielotv.it, Cinemax, CinetecaMilano, Cineverse, CineverseDetails, CiscoLiveSearch, CiscoLiveSession, ciscowebex, CJSW, Clipchamp, Clippit, ClipRs, ClipYouEmbed, CloserToTruth, CloudflareStream, CloudyCDN, Clubic, Clyp, cmt.com, CNBCVideo, CNN, CNNIndonesia, ComedyCentral, ComedyCentralTV, ConanClassic, CondeNast, CONtv, CookingChannel, Corus, Coub, CozyTV, cp24, cpac, Cracked, Crackle, Craftsy, CrooksAndLiars, CrowdBunker, CrowdBunkerChannel, Crtvg, crunchyroll, CSpan, CSpanCongress, CtsNews, CTV, CTVNews, cu.ntv.co.jp, CultureUnplugged, curiositystream, CWTV, Cybrary, CybraryCourse, DacastPlaylist, DacastVOD, DagelijkseKost, DailyMail, dailymotion, DailyWire, DailyWirePodcast, dangalplay, daum.net, DBTV, DctpTv, DeezerAlbum, DeezerPlaylist, democracynow, DestinationAmerica, DetikEmbed, DeuxM, DeuxMNews, DHM, DigitalConcertHall, DigitallySpeaking, Digiteka, DiscogsReleasePlaylist, DiscoveryLife, DiscoveryNetworksDe, DiscoveryPlus, DiscoveryPlusIndia, DiscoveryPlusIndiaShow, DiscoveryPlusItaly, DiscoveryPlusItalyShow, Disney, dlf, Douyin, DouyuShow, DouyuTV, DPlay, DRBonanza, Drooble, Dropbox, Dropout, DropoutSeason, DrTuber, drtv, DTube, duboku, Dumpert, Duoplay, dvtv, dw, EaglePlatform, EbaumsWorld, Ebay, EinsUndEinsTV, EinsUndEinsTVLive, EinsUndEinsTVRecordings, eitb.tv, ElementorEmbed, Elonet, ElPais, ElTreceTV, Embedly, EMPFlix, Epicon, EpiconSeries, EpidemicSound, eplus, Epoch, Eporner, Erocast, EroProfile, ERRJupiter, ertflix, ESPN, ESPNArticle, ESPNCricInfo, EttuTv, Europa, EuroParlWebstream, EuropeanTour, Eurosport, EUScreen, EWETV, EWETVLive, EWETVRecordings, Expressen, EyedoTV, facebook, FacebookPluginsVideo, Fathom, faz.net, fc2, Fczenit, Fifa, filmon, Filmweb, FiveThirtyEight, FiveTV, FlexTV, Flickr, Floatplane, FloatplaneChannel, Folketinget, FoodNetwork, FootyRoom, Formula1, FOX, FOX9, FOX9News, foxnews, FoxNewsVideo, FoxSports, fptplay, FranceCulture, FranceInter, FranceTV, francetvinfo.fr, FranceTVSite, Freesound, freespeech.org, FreeTvMovies, FrontendMasters, FrontendMastersCourse, FrontendMastersLesson, FujiTVFODPlus7, Funimation, Funk, Funker530, Fux, FuyinTV, Gab, GabTV, Gaia, GameDevTVDashboard, GameJolt, GameJoltCommunity, GameJoltGame, GameJoltGameSoundtrack, GameJoltSearch, GameJoltUser, GameSpot, GameStar, Gaskrank, Gazeta, GBNews, GDCVault, GediDigital, gem.cbc.ca, generic, Genius, GeniusLyrics, Germanupa, GetCourseRu, GetCourseRuPlayer, Gettr, GettrStreaming, GiantBomb, GlattvisionTV, GlattvisionTVLive, GlattvisionTVRecordings, Glide, GlobalPlayerAudio, GlobalPlayerAudioEpisode, GlobalPlayerLive, GlobalPlayerLivePlaylist, GlobalPlayerVideo, Globo, GloboArticle, glomex, GMANetworkVideo, Go, GoDiscovery, GodResource, GodTube, Gofile, Golem, GoogleDrive, GoPlay, GoPro, Goshgay, GoToStage, GPUTechConf, Graspop, Gronkh, Groupon, Harpodeon, hbo, HearThisAt, Heise, HellPorno, hetklokhuis, HGTVDe, HGTVUsa, HiDive, HistoricFilms, HitRecord, hketv, HollywoodReporter, HollywoodReporterPlaylist, Holodex, HotNewHipHop, hotstar, hrfernsehen, HRTi, HRTiPlaylist, HSEProduct, HSEShow, html5, Huajiao, HuffPost, Hungama, HungamaAlbumPlaylist, HungamaSong, Hypem, Hytale, Icareus, IdolPlus, IflixSeries, ign.com, IGNArticle, IGNVideo, iheartradio, IlPost, Iltalehti, imdb, Imgur, Ina, Inc, IndavideoEmbed, InfoQ, Instagram, InstagramIOS, Internazionale, InternetVideoArchive, InvestigationDiscovery, IPrima, IPrimaCNN, iq.com, iqiyi, IslamChannel, IslamChannelSeries, IsraelNationalNews, ITProTV, ITProTVCourse, ITV, ITVBTCC, ivi, ivideon, IVXPlayer, iwara, Ixigua, Izlesene, Jamendo, JamendoAlbum, JeuxVideo, jiocinema, Joj, JoqrAg, Jove, JStream, JTBC, JWPlatform, Kakao, Kaltura, KankaNews, Karaoketv, Katsomo, KelbyOne, Kenh14Playlist, Kenh14Video, Ketnet, khanacademy, Kicker, KickStarter, Kika, KinoPoisk, Kommunetv, KompasVideo, Koo, KrasView, KTH, Ku6, KukuluLive, la7.it, laracasts, LastFM, LastFMPlaylist, LastFMUser, LaXarxaMes, lbry, LCI, Lcp, LcpPlay, Le, LearningOnScreen, Lecture2Go, Lecturio, LecturioCourse, LecturioDeCourse, LeFigaroVideoEmbed, LeFigaroVideoSection, LEGO, Lemonde, Lenta, LePlaylist, LetvCloud, Libsyn, life, likee, limelight, LinkedIn, Liputan6, ListenNotes, LiTV, LiveJournal, livestream, Livestreamfails, Lnk, loc, loom, LoveHomePorn, LRTStream, LRTVOD, LSMLREmbed, LSMLTVEmbed, LSMReplay, Lumni, lynda, maariv.co.il, MagellanTV, MagentaMusik, mailru, MainStreaming, MangoTV, ManotoTV, ManotoTVLive, ManotoTVShow, ManyVids, MaoriTV, Markiza, MarkizaPage, massengeschmack.tv, Masters, MatchTV, MBN, MDR, MedalTV, media.ccc.de, Mediaite, MediaKlikk, Medialaan, Mediaset, MediasetShow, Mediasite, MediasiteCatalog, MediasiteNamedCatalog, MediaStream, MediaWorksNZVOD, Medici, megaphone.fm, megatvcom, Meipai, MelonVOD, Metacritic, mewatch, MicrosoftBuild, MicrosoftEmbed, MicrosoftLearnEpisode, MicrosoftLearnPlaylist, MicrosoftLearnSession, MicrosoftMedius, microsoftstream, minds, Minoto, mirrativ, MirrorCoUK, MiTele, mixch, mixcloud, MLB, MLBArticle, MLBTV, MLBVideo, MLSSoccer, MNetTV, MNetTVLive, MNetTVRecordings, MochaVideo, Mojevideo, Mojvideo, Monstercat, MonsterSirenHypergryphMusic, Motherless, MotherlessGallery, MotherlessGroup, MotherlessUploader, Motorsport, MovieFap, Moviepilot, MoviewPlay, Moviezine, MovingImage, MSN, mtg, mtv, mtv.de, mtv.it, mtvjapan, MTVUutisetArticle, MuenchenTV, MujRozhlas, Murrtube, MurrtubeUser, MuseAI, MuseScore, MusicdexAlbum, MusicdexArtist, MusicdexPlaylist, MusicdexSong, Mx3, Mx3Neo, Mx3Volksmusik, Mxplayer, MxplayerShow, MySpace, MySpass, MyVideoGe, MyVidster, Mzaalo, n-tv.de, N1InfoAsset, Nate, NateProgram, NationalGeographicTV, Naver, navernow, nba, NBC, NBCNews, nbcolympics, NBCSports, NBCSportsStream, NBCSportsVPlayer, NBCStations, ndr, NDTV, NekoHacker, NerdCubedFeed, NetPlusTV, NetPlusTVLive, NetPlusTVRecordings, Netverse, NetversePlaylist, NetverseSearch, Netzkino, Newgrounds, NewsPicks, Newsy, NextMedia, NextMediaActionNews, NextTV, Nexx, NexxEmbed, nfb, NFHSNetwork, nfl.com, NhkForSchoolBangumi, NhkForSchoolProgramList, NhkForSchoolSubject, NhkRadioNewsPage, NhkRadiru, NhkRadiruLive, NhkVod, NhkVodProgram, nhl.com, nick.com, nick.de, nickelodeonru, niconico, NiconicoChannelPlus, NiconicoUser, NinaProtocol, Nintendo, Nitter, njoy, NobelPrize, NoicePodcast, NonkTube, NoodleMagazine, Noovo, NOSNLArticle, Nova, NovaEmbed, NovaPlay, nowness, Noz, npo, Npr, NRK, NRKPlaylist, NRKRadioPodkast, NRKSkole, NRKTV, NRKTVDirekte, NRKTVEpisode, NRKTVEpisodes, NRKTVSeason, NRKTVSeries, NRLTV, nts.live, ntv.ru, NubilesPorn, Nuvid, NYTimes, NYTimesArticle, NYTimesCookingGuide, NYTimesCookingRecipe, nzherald, NZOnScreen, NZZ, ocw.mit.edu, Odnoklassniki, OfTV, OfTVPlaylist, OktoberfestTV, OlympicsReplay, on24, OnDemandChinaEpisode, OnDemandKorea, OnDemandKoreaProgram, OneFootball, OnePlacePodcast, onet.pl, onet.tv, OnetMVP, OnionStudios, Opencast, OpencastPlaylist, openrec, OraTV, OsnatelTV, OsnatelTVLive, OsnatelTVRecordings, OutsideTV, OwnCloud, PacktPub, PacktPubCourse, Panopto, PanoptoList, PanoptoPlaylist, ParamountNetwork, ParamountPlus, ParamountPlusSeries, ParamountPressExpress, Parler, parliamentlive.tv, Parlview, patreon, pbs, PBSKids, PearVideo, PeekVids, peer.tv, PeerTube, peloton, PerformGroup, periscope, PGATour, PhilharmonieDeParis, phoenix.de, Photobucket, PiaLive, Piapro, Picarto, PicartoVod, Piksel, Pinkbike, Pinterest, PinterestCollection, Pladform, PlanetMarathi, Platzi, PlatziCourse, player.sky.it, playeur, PlayPlusTV, PlaySuisse, Playtvak, PlayVids, Playwire, pluralsight, PlutoTV, PodbayFM, PodbayFMChannel, Podchaser, podomatic, PokerGo, PokerGoCollection, PolsatGo, PolskieRadio, Popcorntimes, PopcornTV, Pornbox, PornerBros, PornFlip, PornHub, PornHubPagedVideoList, PornHubPlaylist, PornHubUser, PornHubUserVideosUpload, Pornotube, PornoVoisines, PornoXO, PornTop, PornTube, Pr0gramm, PrankCast, PrankCastPost, PremiershipRugby, PressTV, ProjectVeritas, prosiebensat1, PRXAccount, PRXSeries, PRXStory, puhutv, Puls4, Pyvideo, QDance, QingTing, qqmusic, QuantumTV, QuantumTVLive, QuantumTVRecordings, R7, R7Article, Radiko, RadikoRadio, radio.de, Radio1Be, radiocanada, RadioComercial, RadioComercialPlaylist, radiofrance, RadioFranceLive, RadioFrancePodcast, RadioFranceProfile, RadioFranceProgramSchedule, RadioJavan, radiokapital, RadioRadicale, RadioZetPodcast, radlive, Rai, RaiCultura, RaiNews, RaiPlay, RaiPlayLive, RaiPlayPlaylist, RaiPlaySound, RaiPlaySoundLive, RaiPlaySoundPlaylist, RaiSudtirol, RayWenderlich, RayWenderlichCourse, RbgTum, RbgTumCourse, RbgTumNewCourse, RCS, RCSEmbeds, RCSVarious, RCTIPlus, RCTIPlusSeries, RCTIPlusTV, RDS, RedBull, RedBullEmbed, RedBullTV, RedBullTVRrnContent, redcdnlivx, Reddit, RedGifs, RedGifsSearch, RedGifsUser, RedTube, RENTV, RENTVArticle, Restudy, Reuters, ReverbNation, RheinMainTV, RideHome, RinseFM, RinseFMArtistPlaylist, RMCDecouverte, RockstarGames, Rokfin, RoosterTeeth, RoosterTeethSeries, RottenTomatoes, Rozhlas, RozhlasVltava, RTBF, RTDocumentry, RTDocumentryPlaylist, rte, rtl.nl, rtl2, RTLLuLive, RTLLuRadio, RTNews, RTP, RTRFM, RTS, RTVCKaltura, RTVCPlay, RTVCPlayEmbed, RTVS, rtvslo.si, RudoVideo, Rule34Video, Rumble, RumbleChannel, RumbleEmbed, Ruptly, rutube, RUTV, Ruutu, Ruv, S4C, S4CSeries, safari, Saitosan, SAKTV, SAKTVLive, SAKTVRecordings, SaltTV, SaltTVLive, SaltTVRecordings, SampleFocus, Sangiin, Sapo, SBS, sbs.co.kr, schooltv, ScienceChannel, Screen9, Screencast, Screencastify, ScreencastOMatic, ScreenRec, ScrippsNetworks, Scrolller, SCTE, SCTECourse, sejm, Sen, SenalColombiaLive, SenateGov, SenateISVP, SendtoNews, Servus, Sexu, SeznamZpravy, SeznamZpravyArticle, Shahid, ShahidShow, SharePoint, ShareVideosEmbed, ShemarooMe, ShowRoomLive, ShugiinItvLive, ShugiinItvLiveRoom, ShugiinItvVod, SibnetEmbed, simplecast, Sina, Skeb, sky.it, SkylineWebcams, SkyNewsAU, Slideshare, SlidesLive, Slutload, Smotrim, SnapchatSpotlight, Snotr, Sohu, SohuV, SonyLIV, SonyLIVSeries, soop, soundcloud, SoundcloudEmbed, soundgasm, southpark.cc.com, southpark.de, southpark.lat, southpark.nl, southparkstudios.dk, SovietsCloset, SovietsClosetPlaylist, SpankBang, SpankBangPlaylist, Spiegel, Sport5, SportBox, SportDeutschland, spotify, Spreaker, SpreakerShow, SpringboardPlatform, Sprout, SproutVideo, SRGSSR, SRGSSRPlay, StacommuLive, StacommuVOD, StagePlusVODConcert, stanfordoc, StarTrek, startv, Steam, SteamCommunityBroadcast, Stitcher, StitcherShow, StoryFire, StoryFireSeries, StoryFireUser, Streamable, StreamCZ, StreetVoice, StretchInternet, Stripchat, Substack, SunPorno, SVT, SVTPage, SVTPlay, SVTSeries, SwearnetEpisode, Syfy, SYVDK, SztvHu, t-online.de, Tagesschau, TapTapApp, TapTapAppIntl, TapTapMoment, TapTapPostIntl, Tass, TBS, TBSJPEpisode, TBSJPPlaylist, TBSJPProgram, Teachable, TeachableCourse, teachertube, TeachingChannel, Teamcoco, TeamTreeHouse, techtv.mit.edu, TedEmbed, TedPlaylist, TedSeries, TedTalk, Tele13, Tele5, TeleBruxelles, TelecaribePlay, Telecinco, Telegraaf, TeleMB, Telemundo, TeleQuebec, TeleQuebecEmission, TeleQuebecLive, TeleQuebecSquat, TeleQuebecVideo, TeleTask, Telewebion, Tempo, TennisTV, TenPlay, TenPlaySeason, TF1, TFO, TheGuardianPodcast, TheGuardianPodcastPlaylist, TheHoleTv, TheIntercept, ThePlatform, ThePlatformFeed, TheStar, TheSun, TheWeatherChannel, ThisAmericanLife, ThisOldHouse, ThisVid, ThisVidMember, ThisVidPlaylist, ThreeSpeak, ThreeSpeakUser, TikTok, TLC, TMZ, TNAFlix, TNAFlixNetworkEmbed, toggle, toggo, ToonGoggles, tou.tv, Toypics, ToypicsUser, TrailerAddict, TravelChannel, Triller, TrillerShort, TrillerUser, Trovo, TrovoChannelClip, TrovoChannelVod, TrovoVod, TrtCocukVideo, TrtWorld, TrueID, TruNews, Truth, TruTV, Tube8, TubeTuGraz, TubeTuGrazSeries, tubitv, Tumblr, TuneInPodcast, TuneInPodcastEpisode, TuneInStation, tv.dfb.de, TV2, TV2Article, TV2DK, TV2DKBornholmPlay, tv2play.hu, tv2playseries.hu, TV4, TV5MONDE, tv5unis, tv8.it, TVANouvelles, TVANouvellesArticle, tvaplus, TVC, TVCArticle, TVer, tvigle, TVIPlayer, tvland.com, TVN24, TVNoe, tvp, TVPlayer, TVPlayHome, Tweakers, TwitCasting, TwitCastingLive, TwitCastingUser, TwitchCollection, TwitchVideos, TwitchVideosClips, TwitchVideosCollections, twitter, Txxx, udemy, UDNEmbed, UFCArabia, UFCTV, ukcolumn, UKTVPlay, UlizaPlayer, UlizaPortal, Unistra, Unity, uol.com.br, uplynk, Urort, URPlay, USANetwork, USAToday, ustream, ustudio, Varzesh3, Vbox7, Veo, Vesti, Vevo, VevoPlaylist, VGTV, vh1.com, vice, Viddler, Videa, video.arnes.si, video.sky.it, VideoDetective, videofy.me, VideoKen, VideoKenCategory, VideoKenPlayer, VideoKenPlaylist, VideoKenTopic, videomore, VideoPress, Vidflex, Vidio, VidioLive, VidioPremier, VidLii, Vidly, vids.io, Vidyard, viewlift, Viidea, viki, vimeo, ViMP, Vine, Viously, Viqeo, Viu, ViuOTTIndonesia, vk, VKPlay, VKPlayLive, vm.tiktok, Vocaroo, VODPl, VODPlatform, voicy, VolejTV, VoxMedia, VoxMediaVolume, vpro, VRT, VrtNU, VTM, VTV, VTVGo, VTXTV, VTXTVLive, VTXTVRecordings, VuClip, VVVVID, VVVVIDShow, Walla, WalyTV, WalyTVLive, WalyTVRecordings, washingtonpost, wat.tv, WatchESPN, WDR, WDRElefant, WDRPage, Webcamerapl, Webcaster, WebcasterFeed, WebOfStories, WebOfStoriesPlaylist, Weibo, WeiboUser, WeiboVideo, WeiqiTV, WeTvSeries, Weverse, WeverseLive, WeverseLiveTab, WeverseMedia, WeverseMediaTab, WeverseMoment, WeVidi, Weyyak, whowatch, Whyp, wikimedia.org, Wimbledon, WimTV, WinSportsVideo, Wistia, WistiaChannel, WistiaPlaylist, wnl, WorldStarHipHop, wppilot, WrestleUniversePPV, WrestleUniverseVOD, WSJ, WSJArticle, WWE, WyborczaPodcast, Xanimu, XboxClips, XHamster, XHamsterEmbed, XHamsterUser, XiaoHongShu, ximalaya, Xinpianchang, XMinus, XNXX, Xstream, XVideos, XXXYMovies, Yahoo, YandexDisk, YandexVideo, YandexVideoPreview, YapFiles, Yappy, YappyProfile, YleAreena, YouJizz, youku, YouNowChannel, YouNowLive, YouNowMoment, YouPorn, YouPornCategory, YouPornChannel, YouPornCollection, YouPornStar, YouPornTag, YouPornVideos, youtube, YoutubeLivestreamEmbed, YoutubeYtBe, Zaiko, ZaikoETicket, Zapiks, Zattoo, ZattooLive, ZattooMovies, ZattooRecordings, ZDF, ZDFChannel, Zee5, ZeeNews, ZenPorn, ZenYandex, ZenYandexChannel, ZetlandDKArticle, Zhihu, zingmp3, zoom, Zype, generic, Package: zanshin Description-md5: 7ef5afdf8f14e0fbc094ee86648a8e3f Description-en: to-do list manager Zanshin is a powerful yet simple application for managing your day to day actions and notes. It helps you organize and reduce the cognitive pressure of what one has to do in one's job and personal life. You'll never forget anything anymore, having a "mind like water". Package: zbar-tools Description-md5: 959b1192a3ec318c05f75aac21a76707 Description-en: QR code / bar code scanner and decoder (utilities) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains basic applications for decoding captured bar code images and using a video4linux device (e.g. webcam) as a bar code scanner. Package: zbarcam-gtk Description-md5: c8c564783498d2390e04ae29afe7bc92 Description-en: QR code / bar code scanner and decoder (Gtk camera tool) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the Gtk-based camara frontend tool. Package: zbarcam-qt Description-md5: 1f5d99b1953cd0b71fc64150955ff621 Description-en: QR code / bar code scanner and decoder (Qt camera tool) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This package contains the Qt-based camara frontend tool. Package: aad-cli Description-md5: 0a55e20e854e41d73da3ff43be48eb7e Description-en: Azure Active Directory Authentication CLI tool Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the CLI tool to manage various aspects of the Azure AD integration. Package: afnix Description-md5: 4fa7732d8fdce86e3dbebc4c386022a0 Description-en: Compiler and run-time for the AFNIX programming language AFNIX is a multi-threaded functional programming language with dynamic symbol bindings that support the object oriented paradigm. The language features a state of the art runtime engine. The distribution is available with several clients and a rich set of modules that are designed to be platform independent. Package: bochs Description-md5: bc053c9a3065af52a73668b7866a2e07 Description-en: IA-32 PC emulator Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . Bochs is capable of running most operating systems inside the emulation including GNU, GNU/Linux, *BSD, FreeDOS, MSDOS and Windows 95/NT. Package: bochs-sdl Description-md5: c1de9e8924d4d41e5077c82fed4d22e9 Description-en: SDL plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an SDL GUI plugin for Bochs. . Use Scroll-Lock key for full screen. Package: bochs-term Description-md5: 6e4769512394248d4595633871dc05ef Description-en: Terminal (ncurses-based) plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a Terminal (ncurses-based) GUI plugin for Bochs. Package: bochs-wx Description-md5: 173269ea6b13541db917fabb97fa5bcc Description-en: WxWindows plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a WxWindows GUI plugin for Bochs. Package: bochs-x Description-md5: d05bd802b2729b64a9ea369b90b87f71 Description-en: X11 plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an X11 GUI plugin for Bochs. Package: bximage Description-md5: 8deff38bdaf35413e0eddc6a244bcd1c Description-en: Disk Image Creation Tool for Bochs This tool is part of the Bochs project. Its purpose is to generate disk images that are used to allocate the guest operating system in Bochs environment. Package: clickhouse-client Description-md5: 6dceda8938e33615fa36694310e1e0cc Description-en: column-oriented database system (cli client) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides ClickHouse CLI client. Package: clickhouse-common Description-md5: dafc4f101c8118146cf2903a05dfe5ed Description-en: column-oriented database system (common files) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides common files for both ClickHouse server and client. Package: clickhouse-server Description-md5: 40ffe1390c0d529d732668d8ed763668 Description-en: column-oriented database system (server runner) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides ClickHouse server runner. Package: clickhouse-tools Description-md5: 25307a8fa689f823bd361f1d7b95d91a Description-en: column-oriented database system (tools) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides various ClickHouse tools: - clickhouse-performance-test - clickhouse-benchmark - clickhouse-compressor - clickhouse-copier and some others. Package: deepin-album Description-md5: b7be99cd3d06af0ecc6fdb8f90b180bc Description-en: album application for Deepin Desktop Environment Deepin-album is a fashion photo manager for viewing and organizing pictures. deepin-album supports command line, mouse drag method to import photos. deepin-album supports single browsing and timeline browsing modes. Package: gpg-wks-server Description-md5: e2eb43281beac2769941e9e1f37dd8a2 Description-en: GNU privacy guard - Web Key Service server GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC4880. . This package provides the GnuPG server for the Web Key Service protocol. . A Web Key Service is a service that allows users to upload keys per mail to be verified over https as described in https://tools.ietf.org/html/draft-koch-openpgp-webkey-service . For more information see: https://wiki.gnupg.org/WKS Package: gpgv-static Description-md5: 842ffff693d698ec180bc5b9b7ce7ffa Description-en: minimal signature verification tool (static build) GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC 4880. . This is GnuPG's signature verification tool, gpgv, built statically so that it can be directly used on any platform that is running on the Linux kernel. Android and ChromeOS are two well known examples, but there are many other platforms that this will work for, like embedded Linux OSes. This gpgv in combination with debootstrap and the Debian archive keyring allows the secure creation of chroot installs on these platforms by using the full Debian signature verification that is present in all official Debian mirrors. Package: ignition-launch-cli Description-md5: 58a5b2679542ab03c37010d229be92d2 Description-en: Ignition Robotics Launch Library - Launch cli Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch cli application Package: j4-dmenu-desktop Description-md5: 0df38c83c2989b19f88cff1542dff873 Description-en: faster replacement for i3-dmenu-desktop j4-dmenu-desktop is a replacement for i3-dmenu-desktop. It's purpose is to find .desktop files and offer you a menu to start an application using dmenu. . It is much faster than the default i3-dmenu-desktop method to obtain a list of programs parsed from .desktop files. Package: libapache2-mod-rivet Description-md5: 856334e98daba9e3599b077f8e563d94 Description-en: Server-side Tcl programming system combining ease of use and power Apache Rivet is a system for creating dynamic web content via the Tcl programming language integrated with Apache Web Server. It is designed to be fast, powerful and extensible, consume few system resources, be easy to learn, and to provide the user with a platform that can also be used for other programming tasks outside the web (GUI's, system administration tasks, text processing, database manipulation, XML, and so on). In order to meet these goals Tcl programming language was chosen to combine with the Apache HTTP Server. Package: libblis-dev Description-md5: 9f215031f7d1fd437d71bf7197d9b845 Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,meta) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package pulls development files for one of the 32-bit BlasInt variants. Package: libblis-openmp-dev Description-md5: ceacaf3ac2b859d15cf8c58a33dcaf70 Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,openmp) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains (-t openmp) version of development files. Package: libblis-pthread-dev Description-md5: c5463eb80b98d85a99d69ddf2a5dee94 Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,pthread) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains (-t pthread) version of development files. Package: libblis-serial-dev Description-md5: 4146615cf08c93dfaf956acb251a047a Description-en: BLAS-like Library Instantiation Software Framework (dev,32bit,serial) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains (-t no) version development files. Package: libblis4 Description-md5: 5baa73c326d3393e288f320072638b34 Description-en: BLAS-like Library Instantiation Software Framework (32bit,meta) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package pulls one of the 32-bit-BlasInt shared library variants. Package: libblis4-openmp Description-md5: 1884e31b4b241f27ba109fbf3f4ea915 Description-en: BLAS-like Library Instantiation Software Framework (32bit,openmp) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-t openmp) version of shared library. Package: libblis4-pthread Description-md5: 3f4a1ad71e227f07af6a18e9a8874e20 Description-en: BLAS-like Library Instantiation Software Framework (32bit,pthread) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-t pthread) version of shared library. Package: libblis4-serial Description-md5: 233c2c266fd69c9b46291c2001ebbf04 Description-en: BLAS-like Library Instantiation Software Framework (32bit,serial) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-t no) version of shared library. Package: libblis64-4 Description-md5: 625da2059195619a8e9d26ab7e376541 Description-en: BLAS-like Library Instantiation Software Framework (64bit,meta) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package pulls one of the 64-bit-BlasInt shared library variants. Package: libblis64-4-openmp Description-md5: 48120b9a9a3bf492a8c6caac4f8bcec5 Description-en: BLAS-like Library Instantiation Software Framework (64bit,openmp) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t openmp) version of shared library. Package: libblis64-4-pthread Description-md5: 80e24901df53a3dfe07d72681a95865b Description-en: BLAS-like Library Instantiation Software Framework (64bit,pthread) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t pthread) version of shared library. Package: libblis64-4-serial Description-md5: 91194a3216802c63b832f9153d4c0937 Description-en: BLAS-like Library Instantiation Software Framework (64bit,serial) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t no) version of shared library. Package: libblis64-dev Description-md5: 4611561d04eac9c08cce096f5311ddeb Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,meta) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package pulls development files for one of the 64-bit BlasInt variants. Package: libblis64-openmp-dev Description-md5: f6cefe69655516b84fa7957a3b404e1f Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,openmp) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t openmp) version of development files. Package: libblis64-pthread-dev Description-md5: 138b632a254cce3ea8c603dbca568c42 Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,pthread) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t pthread) version of development files. Package: libblis64-serial-dev Description-md5: d9373813209ec10ba1930fb083d6b379 Description-en: BLAS-like Library Instantiation Software Framework (dev,64bit,serial) BLIS is a portable software framework for instantiating high-performance BLAS-like dense linear algebra libraries. The framework was designed to isolate essential kernels of computation that, when optimized, immediately enable optimized implementations of most of its commonly used and computationally intensive operations. BLIS is written in ISO C99 and available under a new/modified/3-clause BSD license. While BLIS exports a new BLAS-like API, it also includes a BLAS compatibility layer which gives application developers access to BLIS implementations via traditional BLAS routine calls. An object-based API is also available for more experienced users. . The package contains the (-b 64 -i 64 -t no) version of development files. Package: libcsirocsa0 Description-md5: a269767d31bc0994f7a17006d83bd80b Description-en: Scientific plotting library (CSIRO csa library) This package contains the bivariate Cubic Spline Approximation library developed by Pavel Sakov, from the CSIRO Marine Research. . The source code for these libraries are part of the plplot source package. Package: libcsironn0 Description-md5: 7fb74997c840a861f9afcfe0cb9ed5e9 Description-en: Scientific plotting library (CSIRO nn library) This package contains the Natural Neighbours interpolation library developed by Pavel Sakov, from the CSIRO Marine Research. . The source code for these libraries are part of the plplot source package. Package: libignition-gazebo-dev Description-md5: 8ba4d9f9a00527803ae38cb007410427 Description-en: Ignition Gazebo classes and functions for robot apps - Development files Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files Package: libignition-gazebo-plugins Description-md5: ed75f85997875eff7f6d998c9eef28e9 Description-en: Ignition Gazebo classes and functions for robot apps - Plugins Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Plugins collection Package: libignition-gazebo6-6 Description-md5: 5f37a7f9f59985df65ec850277bd2285 Description-en: Ignition Gazebo classes and functions for robot apps - Shared library Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Main shared library Package: libignition-launch-dev Description-md5: 980577ac8b37422a77b797e25a67db00 Description-en: Ignition Robotics Launch Library - Development files Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch development files Package: libignition-launch5-5 Description-md5: b1c4132c18a7f9ea2480e3a2dcb9fdc6 Description-en: Ignition Robotics Launch Library - Launch libraries Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch libraries Package: libnss-aad Description-md5: a682083ef91d385da535ba1a8080b33c Description-en: Azure Active Directory Authentication module for NSS Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the NSS module. Package: liboqs-dev Description-md5: 0bccf5e2c23b45c46763f63d43beffdf Description-en: library for quantum-safe cryptographic algorithms (development files) liboqs is an open source C library for quantum-safe cryptographic algorithms. It provides a collection of open source implementations of quantum-safe key encapsulation mechanism (KEM) and digital signature algorithms; a common API for these algorithms; a test harness and benchmarking routines. . liboqs is part of the Open Quantum Safe (OQS) project, which aims to develop and integrate into applications quantum-safe cryptography to facilitate deployment and testing in real world contexts. In particular, OQS provides prototype integrations of liboqs into TLS and SSH, through OpenSSL and OpenSSH. . This package contains the development files. Package: liboqs3 Description-md5: 0d203f318572e69446707ff82ea0f6e1 Description-en: library for quantum-safe cryptographic algorithms (shared library) liboqs is an open source C library for quantum-safe cryptographic algorithms. It provides a collection of open source implementations of quantum-safe key encapsulation mechanism (KEM) and digital signature algorithms; a common API for these algorithms; a test harness and benchmarking routines. . liboqs is part of the Open Quantum Safe (OQS) project, which aims to develop and integrate into applications quantum-safe cryptography to facilitate deployment and testing in real world contexts. In particular, OQS provides prototype integrations of liboqs into TLS and SSH, through OpenSSL and OpenSSH. . This package contains the shared library. Package: libpam-aad Description-md5: 005c34d19bddf8524f3af21f6da08d98 Description-en: Azure Active Directory Authentication module for PAM Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the PAM module. Package: libplplot-dev Description-md5: a4f560488d2925922a3a95d234e764b1 Description-en: Scientific plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains all that is needed for doing development in C, C++ and Fortran with PLplot. For development in Tcl/Tk, Python and Java, install the plplot-tcl-dev, python3-plplot and libplplot-java packages, respectively. This package also includes the OCaml and Lua examples. To use one of these languages you will also need to install the libplplot-xxx package for that language. Package: libplplot-java Description-md5: 9be651b2fe4b86da141747b3ad0d8f8c Description-en: Scientific plotting library (Java bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Java bindings for PLplot. Package: libplplot-lua Description-md5: 15b01f15d00c6e0a485725fa37f771ef Description-en: Scientific plotting library (Lua bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Lua bindings for PLplot. Package: libplplot-ocaml Description-md5: 037c0775570fa05ff6f5f75b19c4c9fe Description-en: OCaml bindings for the scientific plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the OCaml bindings for PLplot. Package: libplplot-ocaml-dev Description-md5: 863ea4066e03489260996582c4d047af Description-en: OCaml support for the PLplot plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the development package for PLplot OCaml bindings. Package: libplplot17 Description-md5: c3f3527bdbadd832ddea1189dc9fba15 Description-en: Scientific plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the PLplot library for C. Package: libplplotada-dev Description-md5: 6882c31d542533f2ffc9e45ece5bbe72 Description-en: Ada support for PLplot, a plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains all that is needed for doing PLplot development in Ada. Package: libplplotada4.2 Description-md5: 132b70eb75a1fd1e20f29998334b7a1d Description-en: Ada support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the runtime Ada bindings library for PLplot. Package: libplplotcxx15 Description-md5: f12263a92a75c6e7dc6362bac29d5c6f Description-en: Scientific plotting library (C++ binding) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the C++ binding for PLplot. Package: libplplotfortran0 Description-md5: d794d8932a6170a1b17942ded61821f2 Description-en: Scientific plotting library (fortran bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Fortran 95 bindings for PLplot. Note: the Fortran 77 bindings have been deprecated upstream for some time and have now been dropped from the Debian packages. Package: libplplotqt2 Description-md5: 28fedac3e79b55e453c293e32551da5f Description-en: Scientific plotting library (Qt bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides C++ bindings to allow use of the PLplot API in Qt applications. Package: libplplotwxwidgets1 Description-md5: 2ede11f4982f1eb91c163ebbc4c7cdb4 Description-en: Scientific plotting library (wxWidgets bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the wxWidgets bindings. Package: libqbscore2.4 Description-md5: 0811f7ca5d99f9e873fc52232c622b8a Description-en: Qbs core library Qbs is a general purpose cross-platform build tool that aims at fast and correct incremental builds. It features a project description language that is similar to QML. . This package contains Qbs core shared library. Package: libqsastime-dev Description-md5: 50108538c058e2b15a79bc388b474234 Description-en: Time format conversion library (development files) The qsastime library is a simple library for handling time format conversion. It overcomes the limitations of the POSIX time handling routines by allow high precision time variables over a large range of ranges of dates and by correctly handling leap seconds. It was designed with the needs of scientific data plotting in mind. This package provides the necessary files to do development with libqsastime. . The source code for this library is part of the plplot source package. Package: libqsastime0 Description-md5: 1b0ca9bf80be8acb86ff7fc64cc84f9a Description-en: Time format conversion library The qsastime library is a simple library for handling time format conversion. It overcomes the limitations of the POSIX time handling routines by allow high precision time variables over a large range of ranges of dates and by correctly handling leap seconds. It was designed with the needs of scientific data plotting in mind. This package provides the runtime library. . The source code for this library is part of the plplot source package. Package: libregfi-dev Description-md5: 2aed8bca746e6c7f0c3aadaeb08a57c0 Description-en: utility to analysis for Windows NT-based registry (devel files) RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. . This package provides the development files. Package: libregfi1t64 Description-md5: 166686126ff450d9d34a781f46c10fba Description-en: utility to analysis for Windows NT-based registry (shared library) RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. . This package provides the runtime library. Package: msc-generator Description-md5: 06b7d7994376652533343f89963837cf Description-en: Draws signalling charts from textual description Msc-generator is a program that parses textual Message Sequence Chart descriptions and produces graphical output in a variety of file formats. . Msc-generator heavily borrows in concept from the 0.08 version of Michael C McTernan's mscgen. However, it has been completely rewritten from scratch and has a much more extensive (and only partially backwards compatible) language. The command-line interface is fully backwards compatible with mscgen, which enables using Msc-generator's commandline tool everywhere where you can use mscgen, but with the richer syntax. This includes the many tools integrated with mscgen, such as Doxygen, Sphinx and Msctexen. Package: msc-generator-nox Description-md5: 6b55af37857416aff8848eb58f9bb86c Description-en: Draws signalling charts from textual description (no-X version) Msc-generator is a program that parses textual Message Sequence Chart descriptions and produces graphical output in a variety of file formats. . Msc-generator heavily borrows in concept from the 0.08 version of Michael C McTernan's mscgen. However, it has been completely rewritten from scratch and has a much more extensive (and only partially backwards compatible) language. The command-line interface is fully backwards compatible with mscgen, which enables using Msc-generator's commandline tool everywhere where you can use mscgen, but with the richer syntax. This includes the many tools integrated with mscgen, such as Doxygen, Sphinx and Msctexen. . This package is for working without an X server. Package: octave-plplot Description-md5: bc07b1f0ee609531a62179d58e7d7ea2 Description-en: Octave support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Octave bindings for PLplot. It provides an almost drop-in replacement for the traditional Gnuplot graphical interface in Octave. Examples are also provided. Package: plplot-driver-cairo Description-md5: a253bfbeffa7f0b503680e35c4081abf Description-en: Scientific plotting library (Cairo driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Cairo driver module for PLplot. It is based on the Cairo 2D graphics library with supporting multiple output devices (X-Window, PDF, PostScript, and PNG). Package: plplot-driver-qt Description-md5: fbd27eb04c005c8e3c230d8c0f50580e Description-en: Scientific plotting library (Qt driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Qt driver module for PLplot. Package: plplot-driver-wxwidgets Description-md5: a1f1da908a753fc88786e285987f0059 Description-en: Scientific plotting library (wxWidgets driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the wxWidgets driver module for PLplot. Package: plplot-driver-xwin Description-md5: 9e16610ed4059fc60f1c2acf2c141f8d Description-en: Scientific plotting library (X11 driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the X11 driver module for PLplot. Package: plplot-examples Description-md5: 48ffdce183d0e3e2571da9bf3e8a6c4f Description-en: Examples for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the examples in C, C++, and for the supported language bindings for the PLplot library. Package: plplot-tcl Description-md5: f48cc16d95409ce8988c70453b1f3aa6 Description-en: Tcl/Tk support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Tcl/Tk support for PLplot: shared libraries, Tcl modules and the Tk driver. Package: plplot-tcl-bin Description-md5: 645baa7b92cec3bb3bd10363427b0060 Description-en: Tcl/Tk tools for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the pltcl and plserver programs for interactive use of the Tcl/Tk support for PLplot. Package: plplot-tcl-dev Description-md5: af6ec3fcc4b6ff2bd34cd40254aa5889 Description-en: Tcl/Tk development support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the necessary files to do development in Tcl/Tk with PLplot: header files, shared libraries links, and examples. Package: python3-pikepdf Description-md5: 2294225f2dbdac263eb2839b6c8836ee Description-en: Python library to read and write PDFs with QPDF pikepdf is a Python library to read and write PDFs with QPDF. Features include: . * Editing, manipulation and transformation of existing PDFs * Based on the mature, proven QPDF C++ library * Works with encrypted PDFs * Supports all PDF compression filters * Can create "fast web view" (linearized) PDFs * Creates standards compliant PDFs that pass validation in other tools * Automatically repairs damaged PDFs, just like QPDF * Implements more of the PDF specification than existing Python PDF tools * IPython notebook and Jupyter integration Package: python3-plplot Description-md5: eb17ab7bbacade4f6715177fc50d0d4d Description-en: Python support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the library plmodule.so for glueing the PLplot scientific plotting library into Python applications. Examples are also provided. Package: python3-plplot-qt Description-md5: 083577bfe29461f89e411d0b9f6a52c9 Description-en: Scientific plotting library (Python Qt GUI) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides Python bindings to allow use of the PLplot API in qt applications. Package: qbs Description-md5: 9b7ebcf2e4b8ab2de8f2effccb27d22a Description-en: cross-platform build tool Qbs is a general purpose cross-platform build tool that aims at fast and correct incremental builds. It features a project description language that is similar to QML. Package: qbs-dev Description-md5: fea4d3bd717e5614a86f07f3957d8d4d Description-en: Qbs development files Qbs is a general purpose cross-platform build tool that aims at fast and correct incremental builds. It features a project description language that is similar to QML. . This package contains headers and development files for Qbs. Package: reglookup Description-md5: 4b4cbf28979f6df6f91127ad133ce24b Description-en: utility to analysis for Windows NT-based registry RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. The package provides the following commands: reglookup, reglookup-recover and reglookup-timeline. Package: scdaemon Description-md5: b49c350b09e4d02f9121e9c67447bbdc Description-en: GNU privacy guard - smart card support GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC4880. . This package contains the smart card program scdaemon, which is used by gpg-agent to access OpenPGP smart cards. Package: shelltestrunner Description-md5: b83e1597d79a7e44be16d876066274d4 Description-en: test command-line programs or arbitrary shell commands shelltestrunner is a cross-platform tool for testing command-line programs (or arbitrary shell commands). It reads simple declarative tests specifying a command, some input, and the expected output, error output and exit status. Tests can be run selectively, in parallel, with a timeout, in color, and/or with differences highlighted. Package: trinity Description-md5: 0b66b5bef215d4a2e96be23a7eddb2e0 Description-en: system call fuzz tester As 'fuzz testing' suggests, trinity calls syscalls at random, with random arguments. Where Trinity differs is that the arguments it passes are not purely random. . If a syscall took, for example, a file descriptor as an argument, one of the first things kernels does is validate that fd, if is not valid the kernel would just reject it as -EINVAL. . So on startup, Trinity creates a list of file descriptors, by opening pipes, scanning sysfs, procfs, /dev, and creates a bunch of sockets using random network protocols. Then when a syscall needs an fd, it gets passed one of these at random. . Trinity also shares those file descriptors between multiple threads, which causes havoc sometimes. . Warning: This program may seriously corrupt your files, including any of those that may be writable on mounted network file shares. It may create network packets that may cause disruption on your local network. Run at your own risk. Package: wordnet Description-md5: 791162978c67c87be997340ea3bad976 Description-en: electronic lexical database of English language WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . WordNet is considered to be the most important resource available to researchers in computational linguistics, text analysis, and many related areas. . Binary and manpages of WordNet as well as general manpages. Package: wordnet-dev Description-md5: 2d3b071fedc636631c6bbb7c77ae8b22 Description-en: electronic lexical database of English language (devel) WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . Header files and library as well as manpages for section 3 (development). Package: wordnet-grind Description-md5: f378d460c4910aa3b6d0e562ddcf4e8a Description-en: WordNet lexicographer files processor WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . This package contains the grind processor for WordNet lexicographer files. It can be used to process the lexicographer files from the Debian source tarball into a WordNet binary database file or other lexicographer filese provided by the user. Package: wordnet-gui Description-md5: acc41796a869230478a9f03dff461e8b Description-en: electronic lexical database of English language (GUI) WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . WordNet is considered to be the most important resource available to researchers in computational linguistics, text analysis, and many related areas. . This package contains a Tcl/Tk Gui to browse wordnet dictionary. Package: cfengine3 Description-md5: 788a29b26be014b99b2dd6cde0363f81 Description-en: tool for configuring and maintaining network machines Cfengine is a suite of programs for integrated autonomic management of either individual or networked computers. . Cfengine 3 is both a more powerful and much simplified version of cfengine, which has been designed to inter operate with cfengine 2 rather than be backwards compatible with it. . With cfengine 3 you can install, configure and maintain computers using powerful hands-free tools. Package: conky-all Description-md5: 1faca1fb400cf837b48eacb2ec53a5de Description-en: highly configurable system monitor (all features enabled) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in Lua support). . This is a full conky with most compile options enabled: . Wayland, X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon, RSS, wireless, IBM, nvidia, Imlib2, apcupsd, I/O stats, argb, Lua and the cairo and imlib2 Lua bindings, Audacious, PulseAudio, iCal, iconv, IRC, and systemd journal. Package: conky-cli Description-md5: c00e0b4a0278562b884599aa6b6db564 Description-en: highly configurable system monitor (basic version) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in Lua support). . This is a basic package that can be useful in servers or piped with dzen2. It includes the following support: . MPD, MOC, math, apcupsd, ncurses and I/O stats. Package: conky-std Description-md5: 91f85b5a646ab0be7c58b2fa4272f62e Description-en: highly configurable system monitor (default version) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in Lua support). . This package should be a good compromise for most users that do not need special features. It includes the following support: . Wayland, X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon, wireless, ncurses, apcupsd, I/O stats, argb and Lua. Package: gammaray Description-md5: a94b1d7900b1873c06348fe2f3b56605 Description-en: Tool for examining the internals of Qt application GammaRay is a tool for examining the internals of a Qt application and to some extent also manipulate it. GammaRay uses injection methods to hook into an application at runtime and provide access to a wide variety of interesting information. It provides easy ways of navigating through the complex internal structures you find in some Qt frameworks, such as QGraphicsView, model/view, QTextDocument, state machines and more. Package: gammaray-dev Description-md5: 77aaccdf9352880e954c0868f7ed3540 Description-en: GammaRay plugin development files GammaRay is a tool for examining the internals of a Qt application and to some extent also manipulate it. GammaRay uses injection methods to hook into an application at runtime and provide access to a wide variety of interesting information. It provides easy ways of navigating through the complex internal structures you find in some Qt frameworks, such as QGraphicsView, model/view, QTextDocument, state machines and more. . This package contains header files used for building 3rd party GammaRay plugins. Package: gammaray-plugin-bluetooth Description-md5: dcebbb439ceee57d836617715cc2549d Description-en: QtBluetooth type support for GammaRay This plugin adds support for QtBluetooth types into GammaRay. Package: gammaray-plugin-kjobtracker Description-md5: 1349fecadcf1b1546cae5fcc2742d3bc Description-en: KJob tracker plugin for GammaRay This plugin can be used to monitor KJob instances within a KDE-based application. Package: gammaray-plugin-positioning Description-md5: b92112aa92fe5313547f30501d3dca46 Description-en: Qt6Positioning type support for GammaRay This plugin adds support for Qt6Positioning types into GammaRay. Package: gammaray-plugin-quickinspector Description-md5: 144443c79d767d7df4585a3495d1dd9f Description-en: GammaRay plugin for inspecting QtQuick2 applications This plugin provides the user with the following inspection and debugging facilities: . * Tree view of all QQuickItems in the scene, marking invisible items and items having focus. * Object inspector allowing to see all the properties, inspect signal-slot connections, and directly invoke slots and Q_INVOKABLE methods. * Live-preview of the QtQuick scene inside the GammaRay window. * Qt Quick scene graph inspector. Package: gammaray-plugin-waylandinspector Description-md5: e1d11c246e302caacc4b4453ca7819c9 Description-en: Wayland compositor inspector plugin for GammaRay The Wayland compositor inspector allows one to browse QWaylandClient instances and their associated resources, as well as observe Wayland events. Package: iptux Description-md5: 0e2a14a870a45f416a44fd78dff4cf71 Description-en: Intranet communication tool for Linux iptux is an “IP Messenger” client for Linux. . It can: - auto-detect other clients on the intranet. - send message to other clients. - send file to other clients. . It is (supposedly) compatible with 飞鸽传书 (Feige) and 飞秋 (FeiQ) from China, and with the original “IP Messenger” clients from Japan as listed on http://ipmsg.org/ including g2ipmsg and xipmsg in Debian. Package: kakoune Description-md5: 8ba777537e413662f81feb19aa24b6ba Description-en: Vim-inspired, selection-oriented code editor Kakoune is a code editor heavily inspired by Vim; as such most of its commands are similar to vi’s ones, and it shares Vi’s "keystrokes as a text editing language" model. Kakoune can operate in two modes, normal and insertion. In insertion mode, keys are directly inserted into the current buffer. In normal mode, keys are used to manipulate the current selection and to enter insertion mode. Kakoune has a strong focus on interactivity, most commands provide immediate and incremental results, while still being competitive (as in keystroke count) with Vim. Kakoune works on selections, which are oriented, inclusive range of characters; selections have an anchor and a cursor character. Most commands move both of them, except when extending selection where the anchor character stays fixed and the cursor one moves around. Package: libarmci-mpi-dev Description-md5: 52136d843f532b5e27ab5e7cdcfb99c9 Description-en: ARMCI-MPI (Development version) ARMCI-MPI is a completely rewritten implementation of the ARMCI one-sided communication interface that uses MPI RMA for one-sided communication. . The purpose of the Aggregate Remote Memory Copy (ARMCI) library is to provide a general-purpose, efficient, and widely portable remote memory access (RMA) operations (one-sided communication) optimized for contiguous and noncontiguous (strided, scatter/gather, I/O vector) data transfers. . This version contains the Fortran90 modules and the static library, built against both OpenMPI and MPICH. Package: libhypre-2.31.0 Description-md5: 478cf6be96dbcdf76f616926e4ebdedb Description-en: High Performance Matrix Preconditioners - Shared Library Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the shared library. Package: libhypre-dev Description-md5: 36f18e0963e1fd3f37a82a2605769015 Description-en: High Performance Matrix Preconditioners - Development Files Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the headers and symlinks necessary to develop programs which use hypre. Package: libnetcdf-mpi-19 Description-md5: 544d5abff5d8ffd3a09189e30430026f Description-en: Interface for scientific data access to large binary data NetCDF (network Common Data Form) is an interface for scientific data access and a freely-distributed software library that provides an implementation of the interface. The netCDF library also defines a machine-independent format for representing scientific data. Together, the interface, library, and format support the creation, access, and sharing of scientific data. . This package contains the C run-time shared libraries required by programs. Package: libnetcdf-mpi-dev Description-md5: f5762b06d6f3c4ec071c7868de8d7e05 Description-en: creation, access, and sharing of array-oriented scientific data NetCDF (network Common Data Form) is a set of interfaces for array-oriented data access and a freely distributed collection of data access libraries for C, Fortran, C++, Java, and other languages. The netCDF libraries support a machine-independent format for representing scientific data. Together, the interfaces, libraries, and format support the creation, access, and sharing of scientific data. . This package provides headers. Package: libonnxruntime-dev Description-md5: d144454e52fb87ef69f028500671d13a Description-en: cross-platform inference and training ML accelerator (dev files) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the development files for libonnxruntime. Package: libonnxruntime1.19.2 Description-md5: 552d4cb5b759776d4c1e450cf6be2235 Description-en: cross-platform inference and training ML accelerator (shared lib) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the shared library. Package: libpromises3 Description-md5: b7cc394ad69cf35c6472df3b5d754ec7 Description-en: libpromises library for cfengine3 binaries The main purpose of cfengine is to allow the system administrator to create a single central file which will define how every host on a network should be configured. . This package contains the libpromises3 library. Package: libtinygltf-dev Description-md5: b72e96b6aac97e1a2398ff9b8f9a61ae Description-en: glTF loader and saver library - header files glTF (GL Transmission Format) is a royalty-free specification for the efficient transmission and loading of 3D scenes and models by applications. TinyGLTF is a C++ implementation to load and save glTF data. . This package installs the header files for development. Package: libtinygltf6d Description-md5: d39fb80aaf40dc59c8e5c9edaadec26f Description-en: glTF loader and saver library glTF (GL Transmission Format) is a royalty-free specification for the efficient transmission and loading of 3D scenes and models by applications. TinyGLTF is a C++ implementation to load and save glTF data. Package: lynkeos.app Description-md5: bca8bc54d12fdc5a672bce40add1b1d3 Description-en: GNUstep app for processing planetary astronomical images Lynkeos is an application dedicated to the processing of astronomical (mainly planetary) images taken with a webcam through a telescope. By stacking the best images, the signal to noise ratio is increased and details lost in the noise of individual images become visible in the resulting image. Package: mailfront Description-md5: df32c5e85614568b7e938b41fb60bfb4 Description-en: mail server network protocol front-ends mailfront is a set of customizable network front-ends for mail servers. It contains complete SMTP, QMQP, QMTP, and POP3 front-ends as well as an authentication module for IMAP. The mail delivery front-ends also contain internal address filtering features. . Two SMTP back-ends are provided. One delivers mail to qmail-queue, mimicking most of the behavior of qmail-smtpd, with the addition of support for SMTP AUTH. The other rejects all SMTP commands if $SMTPREJECT is set, and execs its command line otherwise (in order to run the above program). Package: onnxruntime-tools Description-md5: 609c04cd77a09b15de6cf9016a91bd0d Description-en: cross-platform inference and training ML accelerator (tools) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the onnxruntime tools. Package: wlcs Description-md5: e98252357ef98c0dfeda1d7f699fb533 Description-en: Wayland Conformance Suites wlcs aspires to be a protocol-conformance-verifying test suite usable by Wayland compositor implementations. . This package contains the headers necessary for a Wayland compositor to provide the integration module needed to run wlcs tests, and the test runner binary needed to run the tests against the compositor. Package: wlmaker Description-md5: 67018fd8151afeb4910a2a3966938468 Description-en: Wayland compositor inspired by Window Maker This is a Wayland compositor inspired by Window Maker. - Compositor for windows in stacking mode. - Supports multiple workspaces. - Appearance inspired by Window Maker, following the look and feel of NeXTSTEP. - Easy to use, lightweight, low gimmicks and fast. - Dock and clip, to be extended for dockable apps. Package: wsjtx-improved Description-md5: 70f68c4174fac5fcd5affc60a599bcb0 Description-en: Weak-signal amateur radio communications WSJT-X implements amateur radio communication protocols or "modes" called FST4, FST4W, FT4, FT8, JT4, JT9, JT65, Q65, MSK144, and WSPR, as well as one called Echo for detecting and measuring your own radio signals reflected from the Moon. These modes were all designed for making reliable, confirmed QSOs under extreme weak-signal conditions. . JT4, JT9, and JT65 use nearly identical message structure and source encoding (the efficient compression of standard messages used for minimal QSOs). They use timed 60-second T/R sequences synchronized with UTC. JT4 and JT65 were designed for EME ("moonbounce") on the VHF/UHF/microwave bands. JT9 is optimized for the MF, and HF bands. It is about 2 dB more sensitive than JT65 while using less than 10% of the bandwidth. Q65 offers submodes with a wide range of T/R sequence lengths and tone spacings. . FT4 and FT8 are operationally similar but use T/R cycles only 7.5 and 15 s long, respectively. MSK144 is designed for Meteor Scatter on the VHF bands. These modes offer enhanced message formats with support for nonstandard callsigns and some popular contests. . FST4 and FST4W are designed particularly for the LF and MF bands. On these bands their fundamental sensitivities are better than other WSJT-X modes with the same sequence lengths, approaching the theoretical limits for their rates of information throughput. FST4 is optimized for two-way QSOs, while FST4W is for quasi-beacon transmissions of WSPR-style messages. FST4 and FST4W do not require the strict, independent time synchronization and phase locking of modes like EbNaut. . WSPR mode implements a protocol designed for probing potential propagation paths with low-power transmissions. WSPR is fully implemented within WSJT-X, including programmable "band-hopping". . wsjt-x_improved is an enhanced version of the excellent WSJT-X software by Joe Taylor K1JT, Steve Franke K9AN, Bill Somerville G4WJS and others. Package: sb16ctrl-bochs Description-md5: 00c29543573b338ce1a35bae09b7e897 Description-en: control utility for Bochs emulated SB16 card You can use this utility to perform some query operations on the Bochs emulated SB16 card. It needs to be installed in your Debian-based guest OS. . The sb16ctrl utility contained in this package can only be used from inside the Bochs emulator. DO NOT TRY IT ON REAL HARDWARE. Package: librust-tokio-executor-dev Description-md5: 1d0777a6d4941c1d599a024b61bd87bb Description-en: Future execution primitives - Rust source code This package contains the source for the Rust tokio-executor crate, packaged by debcargo for use with cargo and dh-cargo. Package: netlabel-tools Description-md5: c34a9c6dc669e03e4de3a6aeac234e2b Description-en: management tool for the Linux NetLabel Subsystem The NetLabel management utility, netlabelctl, is a command line program designed to allow system administrators to configure the NetLabel system in the kernel. . This package requires that the running kernel supports NETLABEL. Package: corrosion Description-md5: 4cbc21579b747160c17f9687bd29da47 Description-en: Tool for integrating rust with an existing CMake project Corrosion, formerly known as cmake-cargo, is a tool for integrating Rust into an existing CMake project. . Corrosion can automatically import executables, static libraries, and dynamic libraries from a workspace or package manifest (Cargo.toml file). Package: fim Description-md5: 545bc8b6326b5387fc70d5fa199f1855 Description-en: scriptable frame buffer, X.org and ascii art image viewer FIM is a highly customizable scriptable image viewer targeted at the users who are comfortable with software like the Vim text editor or the Mutt mail user agent. FIM aims to be a "swiss army knife" for viewing images. Its code derives from the "Fbi" framebuffer image viewer by Gerd Hoffmann. FIM is multidevice: it has X support via the SDL library and ascii art output via the aalib library. . It supports image description files, file search and filtering using regular expressions on filenames and descriptions, caption display, customizable status line, EXIF tags display, EXIF-based image rotation, recursive directory traversal, reading from stdin, and can e.g. jump between two images remembering scale and position. . It can speed up loading by image caching and speed up scaling with mipmaps. It offers GNU readline command line autocompletion and history, completely customizable key bindings, external/internal (if-while based) scriptability (through return codes, standard input/output, and commands given at invocation time, an initialization file, Vim-like autocommands), and much more. Package: genomicsdb-tools Description-md5: 3f20136b322610f83a0ea378faf066d5 Description-en: sparse array storage library for genomics (tools) GenomicsDB is built on top of a htslib fork and an internal array storage system for importing, querying and transforming variant data. Variant data is sparse by nature (sparse relative to the whole genome) and using sparse array data stores is a perfect fit for storing such data. . The GenomicsDB stores variant data in a 2D array where: - Each column corresponds to a genomic position (chromosome + position); - Each row corresponds to a sample in a VCF (or CallSet in the GA4GH terminology); - Each cell contains data for a given sample/CallSet at a given position; data is stored in the form of cell attributes; - Cells are stored in column major order - this makes accessing cells with the same column index (i.e. data for a given genomic position over all samples) fast. - Variant interval/gVCF interval data is stored in a cell at the start of the interval. The END is stored as a cell attribute. For variant intervals (such as deletions and gVCF REF blocks), an additional cell is stored at the END value of the variant interval. When queried for a given genomic position, the query library performs an efficient sweep to determine all intervals that intersect with the queried position. . This package contains some tools to be run as executable files. Package: golang-golang-x-tools Description-md5: e34084d88c06480ee1c22d1df714cb85 Description-en: supplementary Go tools This subrepository holds the source for various packages and tools that support the Go programming language. . Some of the tools, godoc and vet for example, used to be included in the golang-go package. Others, including the Go oracle and the test coverage tool, can be fetched with "go get". . Packages include a type-checker for Go and an implementation of the Static Single Assignment form (SSA) representation for Go programs. Package: gvproxy Description-md5: 7f54d927314e1f5b97b4681f9bcffe5a Description-en: Secure networking stack for container runtimes (program) This package provides a networking stack similar to libslirp or VPNKit, but written in golang. It is based on gVisor. . This package provides the proxy and wrapper utility for use with qemu Package: itinerary Description-md5: 6c2f6dc66f5ca2ed3f61a12ec417db5d Description-en: Digital travel assistant protecting your privacy Getting your itinerary presented in a unified, well structured and always up to date fashion rather than advertisement overloaded HTML email monstrosities or countless vendor-specific apps. Package: libautopilot-gtk Description-md5: c76f24e365cee9cbd3ae6bd89df0ce70 Description-en: Makes GTK+ applications introspectable by autopilot. This allows autopilot to test any existing GTK+ application, without having to rebuild the application under test. Package: libdolfinx-complex-dev Description-md5: 59b256ad341827e4c4c4e5af4f7b8d2d Description-en: Shared links and header files for DOLFIN (complex numbers) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the development files for the complex number build. . This is the next-generation version of DOLFIN-). The legacy version of DOLFIN is provided by libdolfin-dev. Package: libdolfinx-complex0.8 Description-md5: f41dc33b4940a12c2135694d20dc5514 Description-en: Shared libraries for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries with complex number support. Package: libdolfinx-dev Description-md5: aa90851252787184d62f28b2cdaa7458 Description-en: Shared links and header files for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the common development files and depends on the real or complex development package. . This is the next-generation version of libdolfinx-dev (DOLFIN-X). The legacy version of DOLFIN is provided by libdolfin-dev. Package: libdolfinx-real-dev Description-md5: 7d08875fc9b45dfc7e05649405090ec4 Description-en: Shared links and header files for DOLFIN (real numbers) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the development files for the real number build. . This is the next-generation version of DOLFIN-X. The legacy version of DOLFIN is provided by libdolfin-dev. Package: libdolfinx-real0.8 Description-md5: 6e1ac5acb4da3ed07d764dc1c9935f36 Description-en: Shared libraries for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries with real number support. Package: libgenomicsdb-dev Description-md5: 04821e6557f75dcb327f65199e4b3db3 Description-en: sparse array storage library for genomics (development files) GenomicsDB is built on top of a htslib fork and an internal array storage system for importing, querying and transforming variant data. Variant data is sparse by nature (sparse relative to the whole genome) and using sparse array data stores is a perfect fit for storing such data. . The GenomicsDB stores variant data in a 2D array where: - Each column corresponds to a genomic position (chromosome + position); - Each row corresponds to a sample in a VCF (or CallSet in the GA4GH terminology); - Each cell contains data for a given sample/CallSet at a given position; data is stored in the form of cell attributes; - Cells are stored in column major order - this makes accessing cells with the same column index (i.e. data for a given genomic position over all samples) fast. - Variant interval/gVCF interval data is stored in a cell at the start of the interval. The END is stored as a cell attribute. For variant intervals (such as deletions and gVCF REF blocks), an additional cell is stored at the END value of the variant interval. When queried for a given genomic position, the query library performs an efficient sweep to determine all intervals that intersect with the queried position. . This package contains the development files and the static library. Package: libgenomicsdb-jni Description-md5: d734bbbeaf3aa7f51087b08e4ff6dc74 Description-en: sparse array storage library for genomics (Java native bindings) GenomicsDB is built on top of a htslib fork and an internal array storage system for importing, querying and transforming variant data. Variant data is sparse by nature (sparse relative to the whole genome) and using sparse array data stores is a perfect fit for storing such data. . The GenomicsDB stores variant data in a 2D array where: - Each column corresponds to a genomic position (chromosome + position); - Each row corresponds to a sample in a VCF (or CallSet in the GA4GH terminology); - Each cell contains data for a given sample/CallSet at a given position; data is stored in the form of cell attributes; - Cells are stored in column major order - this makes accessing cells with the same column index (i.e. data for a given genomic position over all samples) fast. - Variant interval/gVCF interval data is stored in a cell at the start of the interval. The END is stored as a cell attribute. For variant intervals (such as deletions and gVCF REF blocks), an additional cell is stored at the END value of the variant interval. When queried for a given genomic position, the query library performs an efficient sweep to determine all intervals that intersect with the queried position. . This package contains the Java native bindings. Package: libgenomicsdb1 Description-md5: 3ced7447ea57b348eac80bcb80ec84b4 Description-en: sparse array storage library for genomics (shared libraries) GenomicsDB is built on top of a htslib fork and an internal array storage system for importing, querying and transforming variant data. Variant data is sparse by nature (sparse relative to the whole genome) and using sparse array data stores is a perfect fit for storing such data. . The GenomicsDB stores variant data in a 2D array where: - Each column corresponds to a genomic position (chromosome + position); - Each row corresponds to a sample in a VCF (or CallSet in the GA4GH terminology); - Each cell contains data for a given sample/CallSet at a given position; data is stored in the form of cell attributes; - Cells are stored in column major order - this makes accessing cells with the same column index (i.e. data for a given genomic position over all samples) fast. - Variant interval/gVCF interval data is stored in a cell at the start of the interval. The END is stored as a cell attribute. For variant intervals (such as deletions and gVCF REF blocks), an additional cell is stored at the END value of the variant interval. When queried for a given genomic position, the query library performs an efficient sweep to determine all intervals that intersect with the queried position. . This package contains the shared library. Package: libgzstream-dev Description-md5: 0d5f3f1844758d871482cc179322016c Description-en: provide functionality of zlib C-library in a C++ iostream (development) Gzstream is a small C++ library, basically just a wrapper, that provides the functionality of the zlib C-library in a C++ iostream. . This package contains the static library and header files. Package: libgzstream0 Description-md5: 25781160586e93aa72b484d8a32bdb7b Description-en: provide functionality of zlib C-library in a C++ iostream Gzstream is a small C++ library, basically just a wrapper, that provides the functionality of the zlib C-library in a C++ iostream. . This package contains the dynamic library. Package: libhypre64-2.31.0 Description-md5: eb3b32ffb2fb640c4e2c96da274ced79 Description-en: High Performance Matrix Preconditioners - 64-bit (bigint) Shared Library Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the shared library supporting 64-bit integers for both HYPRE_BigInt and HYPRE_Int (--with-bigint). Package: libhypre64-dev Description-md5: d04e685568c49d162df490b2880bcf14 Description-en: High Performance Matrix Preconditioners - 64-bit (bigint) Development Files Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the headers and symlinks necessary to develop programs which use hypre with 64-bit integers for both HYPRE_BigInt and HYPRE_Int (--with-bigint). Package: libhypre64m-2.31.0 Description-md5: 2ee3f646db69100b9f6e20cac373f3b8 Description-en: High Performance Matrix Preconditioners - 64-bit (mixedint) Shared Library Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the shared library supporting 64-bit HYPRE_BigInt with 32-bit HYPRE_Int (--with-mixedint). . Note that segfaults have been reported in MPI tests. libhypre64m (mixedint) might not be safe, and should be considered experimental. Use with care (or do not use). See https://github.com/hypre-space/hypre/issues/326 Package: libhypre64m-dev Description-md5: d3b28a617c946bf08d293ea96172caa8 Description-en: High Performance Matrix Preconditioners - 64-bit (mixedint) Development Files Hypre is a set of matrix preconditioning libraries to aid in the solution of large systems of linear equations. . This package contains the headers and symlinks necessary to develop programs which use hypre with 64-bit HYPRE_BigInt and 32-bit HYPRE_Int (--with-mixedint). . Note that segfaults have been reported in MPI tests. libhypre64m (mixedint) might not be safe, and should be considered experimental. Use with care (or do not use). See https://github.com/hypre-space/hypre/issues/326 Package: libignition-gui-dev Description-md5: feda608232ae7542cb618649a19a2bc9 Description-en: Ignition Gui classes and functions for robot apps - Development files Ignition Gui is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Package for development libraries and headers. Package: libignition-gui6-6 Description-md5: a1785db80184ac84ff09bc2ef2702ff3 Description-en: Ignition Gui classes and functions for robot apps - Shared library Ignition Gui is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Package contains the main libraries Package: libignition-sensors-air-pressure-dev Description-md5: 7ef8439d5cf7d15ce02454d28114b52d Description-en: Ignition sensors classes and functions for robot apps - Dev files air-pressure Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for air-pressure sensor Package: libignition-sensors-altimeter-dev Description-md5: e7e4bd4fb10ae6d525fd53df49e3f692 Description-en: Ignition sensors classes and functions for robot apps - Dev files altimeter Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for altimeter sensor Package: libignition-sensors-camera-dev Description-md5: 9a8b2d2bc7256e8d3be9e95a8de9da99 Description-en: Ignition sensors classes and functions for robot apps - Dev files Camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for Camera sensor Package: libignition-sensors-core-dev Description-md5: 045b0fe84d48ce2e398a6cd32cb62aba Description-en: Ignition sensors classes and functions for robot apps - Development files Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Core development files Package: libignition-sensors-depth-camera-dev Description-md5: 0cb6de466a3cb3a15285645737d1a676 Description-en: Ignition sensors classes and functions for robot apps - Dev files depth-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for depth-camera sensor Package: libignition-sensors-dev Description-md5: b51a00c68154af6a66278ed2bef0391a Description-en: Ignition sensors classes and functions for robot apps - Metapackage Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Metapackage for all the -dev files Package: libignition-sensors-force-torque-dev Description-md5: a68ff69ec3a7ac190752e37aead56407 Description-en: Ignition sensors classes and functions for robot apps - Dev files force-torque Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for force-torque sensor Package: libignition-sensors-gpu-lidar-dev Description-md5: 7c0a357103c919f224f14ccd4636a13c Description-en: Ignition sensors classes and functions for robot apps - Dev files gpu-lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for gpu-lidar sensor Package: libignition-sensors-imu-dev Description-md5: 2cb4aabeeda68b9203ced686aed19ec1 Description-en: Ignition sensors classes and functions for robot apps - Dev files imu Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for imu sensor Package: libignition-sensors-lidar-dev Description-md5: a97d47fe92f56af44e92d5c936cfb306 Description-en: Ignition sensors classes and functions for robot apps - Dev files lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for lidar sensor Package: libignition-sensors-logical-camera-dev Description-md5: 520a4c764874076ef2a304550f1789a7 Description-en: Ignition sensors classes and functions for robot apps - Dev files logical-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for logical-camera sensor Package: libignition-sensors-magnetometer-dev Description-md5: 959672d6f8a3b26e7fc4e2d9ef834460 Description-en: Ignition sensors classes and functions for robot apps - Dev files magnetometer Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for magnetometer sensor Package: libignition-sensors-navsat-dev Description-md5: 7f056e5be5b7abc2cdf602b4d4ef786a Description-en: Ignition sensors classes and functions for robot apps - Dev files navsat Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for NavSat (GPS) sensor Package: libignition-sensors-rendering-dev Description-md5: e8d69ff6a6c453c6791ed8ea937efcc4 Description-en: Ignition sensors classes and functions for robot apps - Dev files rendering Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for rendering sensor Package: libignition-sensors-rgbd-camera-dev Description-md5: f35c366810390c55e8eb96ba1b7a3e98 Description-en: Ignition Sensors classes and functions for robot apps - Dev files rgbd-camera Ignition Sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for rgbd-camera sensor Package: libignition-sensors-segmentation-camera-dev Description-md5: c99da2926ec257e587e2da1a5878e1ac Description-en: Ignition sensors classes and functions for robot apps - Dev files segmentation-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for segmentation-camera sensor Package: libignition-sensors-thermal-camera-dev Description-md5: c6b83066508432d2887164583c32b21e Description-en: Ignition sensors classes and functions for robot apps - Dev files thermal-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for thermal-camera sensor Package: libignition-sensors6-6 Description-md5: 11c0438fc30ca20a7a7b6a53c5a094a3 Description-en: Ignition sensors classes and functions for robot apps - Shared library Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. Package: libignition-sensors6-air-pressure6 Description-md5: 6af3c8504ac71fac27ab75b3e27d3b55 Description-en: Ignition sensors classes and functions for robot apps - Lib air-pressure Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for air-pressure sensor Package: libignition-sensors6-altimeter6 Description-md5: b5b40c004495caa2a0617bd41ac8fbc4 Description-en: Ignition sensors classes and functions for robot apps - Lib altimeter Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for altimeter sensor Package: libignition-sensors6-camera6 Description-md5: e0cefdb7a9b8fee736a39c6a3e5d7894 Description-en: Ignition sensors classes and functions for robot apps - Lib Camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for Camera sensor Package: libignition-sensors6-depth-camera6 Description-md5: fd36179ae2b4b263c991c031208c6557 Description-en: Ignition sensors classes and functions for robot apps - Lib depth-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for depth-camera sensor Package: libignition-sensors6-force-torque6 Description-md5: 56926cbbacc74bdb19f6320d9d96e9eb Description-en: Ignition sensors classes and functions for robot apps - Lib force-torque Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for force-torque sensor Package: libignition-sensors6-gpu-lidar6 Description-md5: 94dc7b7ee619cf97c4bbd9b9aa1d48e2 Description-en: Ignition sensors classes and functions for robot apps - Lib gpu-lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for gpu-lidar sensor Package: libignition-sensors6-imu6 Description-md5: c3f7a8b0900b5bb22286690a7dad12a8 Description-en: Ignition sensors classes and functions for robot apps - Lib imu Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for imu sensor Package: libignition-sensors6-lidar6 Description-md5: 09928d3bcb5434c27b4ee3f1b66284b0 Description-en: Ignition sensors classes and functions for robot apps - Lib lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for lidar sensor Package: libignition-sensors6-logical-camera6 Description-md5: d21596526c2a201792542dffbe8fb918 Description-en: Ignition sensors classes and functions for robot apps - Lib logical-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for logical-camera sensor Package: libignition-sensors6-magnetometer6 Description-md5: 9f99ea765378626d5f99f4682048de90 Description-en: Ignition sensors classes and functions for robot apps - Lib magnetometer Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for magnetometer sensor Package: libignition-sensors6-navsat6 Description-md5: 6dbd43dc17d2d05de6788cc0423ed621 Description-en: Ignition sensors classes and functions for robot apps - Lib navsat Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for NavSat (GPS) sensor Package: libignition-sensors6-rendering6 Description-md5: 3bbd2907b87b38d1a3df1c97ab4918c5 Description-en: Ignition sensors classes and functions for robot apps - Lib rendering Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for rendering sensor Package: libignition-sensors6-rgbd-camera6 Description-md5: 501dfc7a56ce94b12ca397b409807442 Description-en: Ignition Sensors classes and functions for robot apps - Lib rgbd-camera Ignition Sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for rgbd-camera sensor Package: libignition-sensors6-segmentation-camera6 Description-md5: c33fab7ca9f229ab00c48de7041b65e7 Description-en: Ignition sensors classes and functions for robot apps - Lib segmentation-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for segmentation-camera sensor Package: libignition-sensors6-thermal-camera6 Description-md5: 3d9f0ce4b4fd826a65199add2c4d5f7e Description-en: Ignition sensors classes and functions for robot apps - Lib thermal-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for thermal-camera sensor Package: libpmix-bin Description-md5: eeb9219943b64a66fd2e9bcf4655f473 Description-en: Process Management Interface (Exascale) library - tools PMIX is the OpenMPI implementation of the Process Management Interface (PMI) Exascale API. This package provides utilities for working with pmix. Package: libpmix-dev Description-md5: 40649f4e98770885669b0326273d7233 Description-en: Development files for the PMI Exascale library This is the OpenMPI implementation of the Process Management Interface (PMI) Exascale API. PMIx aims to retain transparent compatibility with the existing PMI-1 and PMI-2 definitions, and any future PMI releases; Support the Instant On initiative for rapid startup of applications at exascale and beyond. Package: libpmix2t64 Description-md5: aca5aa31def7ae96d62a110030ef497f Description-en: Process Management Interface (Exascale) library This is the OpenMPI implementation of the Process Management Interface (PMI) Exascale API. PMIx aims to retain transparent compatibility with the existing PMI-1 and PMI-2 definitions, and any future PMI releases; Support the Instant On initiative for rapid startup of applications at exascale and beyond. Package: librust-always-assert-dev Description-md5: fee69e68e025d69a85cc1d16a9bfa2f1 Description-en: Recoverable assertions for long-running robust applications - Rust source code Source code for Debianized Rust crate "always-assert" Package: librust-tokio-reactor-dev Description-md5: 07eabb320ba20cc7e0ec5ba4953b5dff Description-en: Event loop that drives Tokio I/O resources - Rust source code This package contains the source for the Rust tokio-reactor crate, packaged by debcargo for use with cargo and dh-cargo. Package: python3-cypari2 Description-md5: 4ce72cd59d1d99bc5bef7cb61b958cd3 Description-en: Python interface to PARI -- Python 3 A Python interface to the number theory library libpari. . This package installs the library for Python 3. Package: python3-dolfinx Description-md5: 8b0d7e543b0a54225af077d16acadf2a Description-en: Python interface for DOLFIN (Python 3) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This is the next-generation version of libdolfinx-dev (DOLFIN-X). The legacy version of DOLFIN is provided by python3-dolfin. . This is a base package depending on the dolfinx packages with real or complex number support. By default the version built against the preferred version of PETSc in /usr/lib/petsc is selected, but the alternative version can be selected by setting the environment variable PETSC_DIR. Package: python3-dolfinx-complex Description-md5: 21c9bd2c698d8d40721e0a273f1bd404 Description-en: Python interface for DOLFIN (Python 3) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the Python interface for DOLFIN (Python 3). . This is the next-generation version of libdolfinx-dev (DOLFIN-X). The legacy version of DOLFIN (without complex number support) is provided by python3-dolfin. Package: python3-dolfinx-real Description-md5: 6a6e4b563fac29b55604579a2f66c280 Description-en: Python interface for DOLFIN (Python 3) DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the Python interface for DOLFIN (Python 3). . This is the next-generation version of libdolfinx-dev (DOLFIN-X). The legacy version of DOLFIN is provided by python3-dolfin. Package: python3-pmix Description-md5: 18bdffb37642e6489d4ebfc74cf3d64d Description-en: Process Management Interface (Exascale) library - Python wrapper PMIX is the OpenMPI implementation of the Process Management Interface (PMI) Exascale API. This package provides Python3 bindings. Package: gcc-snapshot Description-md5: 04205e24a49d2983b9b94e973a23f42a Description-en: SNAPSHOT of the GNU Compiler Collection This package contains a recent development SNAPSHOT of all files contained in the GNU Compiler Collection (GCC). . The source code for this package has been exported from SVN trunk. . DO NOT USE THIS SNAPSHOT FOR BUILDING DEBIAN PACKAGES! . This package will NEVER hit the testing distribution. It is used for tracking gcc bugs submitted to the Debian BTS in recent development versions of gcc.